摘要: 在进行工程调试的时候有时候需要对变量进行观察,SingnaTap II Logic Analyzer 只能对管脚进行观察,所以要观察内部的变量必须把内部的变量进行输出。一种方法是直接把变量定义成管脚通过signaltap II观察,一种是用显示器显示,另外一种是通过串口进行观察。本文主要写了串口发送... 阅读全文
posted @ 2014-11-29 09:51 卡贝天师 阅读(835) 评论(0) 推荐(0) 编辑