摘要: 查找表(Look-Up-Table) LUT就是查找表,对于4输入的LUT而言,实际上就是4位地址位,一位数据位的存储器,能够存储16位数据,所以我们在FPGA设计中可以用LUT组建分布式的RAM。 LUT的一个重要功能是逻辑函数发生器。本质上,逻辑函数发生器存储的是真值表(Truth Table) 阅读全文
posted @ 2022-04-19 23:09 zhang866 阅读(1484) 评论(0) 推荐(0) 编辑