2013年3月14日

FPGA学习之按键控制

摘要: 这几天状态不是很好。效率很低。 之前又忙于给本科生做毕设,有关于生理信号的检测的一个东西,感觉很头疼。最近一段时间感觉又可以把这个放下一段时间了。根据之前的计划,暂时补充一个简单的按键控制数码管显示的程序,程序经过验证,也经过了消抖本想通过状态机进行消抖,但是暂时还是通过简单的20ms的delay,其实是一样的。程序很简单,主要实现的功能就是按键控制数码管从0到9进行循环显示。但是今天晚上也是调试了我好长一段的时间。module Key_Smg( input clk,rst_n,input Keyin,output smgen,output [7:0] KeyData);assign smge 阅读全文

posted @ 2013-03-14 22:01 展翅的小鸟 阅读(1311) 评论(0) 推荐(0) 编辑

导航