摘要: 1.数据类型: 2.数据运算: 3.基本语句: 4.数组: 5.子函数: 6.模块化编程: **模块化编程:**把各个模块的代码放在不同的.c文件里,在.h文件里提供外部可调用函数的声明,其它.c文件想使用其中的代码时,只需要#include "XXX.h"文件即可。使用模块化编程可极大的提高代码的 阅读全文
posted @ 2023-04-08 22:58 anzg256 阅读(68) 评论(0) 推荐(0) 编辑
摘要: 1.单片机介绍 单片机,英文Micro Controller Unit,简称MCU 内部集成了CPU、RAM、ROM、定时器、中断系统、通讯接口等一系列电脑的常用硬件功能 单片机的任务是信息采集(依靠传感器)、处理(依靠CPU)和硬件设备(例如电机,LED等)的控制 单片机跟计算机相比,单片机算是一 阅读全文
posted @ 2023-04-08 22:50 anzg256 阅读(333) 评论(0) 推荐(0) 编辑
摘要: 1关于电容 电容没有电、相当于是短路。可以看做是一根导线。 电容满电、相当于是断路。 阅读全文
posted @ 2023-04-06 21:33 anzg256 阅读(93) 评论(0) 推荐(0) 编辑
摘要: 1、整数 integer 整数(正数 0 负数)是一种通用的寄存器数据类型,用于对数量进行操作,整数的默认位宽为宿主机的字的位数,与具体实现有关,最小为32位。 reg和integer:reg的寄存器类型变量为无符号数 integer的寄存器类型变量为有符号数 举例: integer counter 阅读全文
posted @ 2023-03-28 22:36 anzg256 阅读(188) 评论(0) 推荐(0) 编辑
摘要: [TOC] ## 1、关于GSR和PUR (芯片工作时产生的两个内部信号) PUR:Power Up Reset 上电复位信号 GSR:Global Set/Reset 接入全局复位/置位信号 >PUR PUR_INST (.PUR(1'b1)); >GSR GSR_INST (.GSR(1'b1) 阅读全文
posted @ 2023-02-14 22:27 anzg256 阅读(222) 评论(0) 推荐(0) 编辑
摘要: 一、状态机的基本概念 ##1.为什么要使用状态机 硬件设计需要并行设计思想,而用Verilog描述的电路大多都是并行实现的,但是对于实际的项目工程,往往需要让硬件来做一些具有顺序的工作,这就要用到状态机的思想。状态机简单的来说就是通过不同的状态迁移来完成一些特定的顺序逻辑 ##2. 构成状态机的基本 阅读全文
posted @ 2023-01-13 22:14 anzg256 阅读(404) 评论(0) 推荐(0) 编辑
摘要: ###TechnologyMapViewer --技术映射查看器; ####1、QUARTUS II 中往往要查看RTL Viewer,其实RTLview是编译后的结果,显示的图形都是调用标准单元的结果,这是和思维有关联的显示结果,跟工艺库,FPGA类型,都没有关系; ####2、Technolog 阅读全文
posted @ 2023-01-13 17:10 anzg256 阅读(228) 评论(0) 推荐(0) 编辑
摘要: 一、概述 本篇博客主要介绍:使用计数器来得到自己想要的的一段脉冲信号。 二、实现方法及代码 1、框图,端口及相关信号 2、代码实现 Verilog实现 `timescale 1ns/1ps module data_cnt ( input clk, //50MHZ 20ns input rstn, i 阅读全文
posted @ 2023-01-11 21:12 anzg256 阅读(74) 评论(0) 推荐(0) 编辑
摘要: 一、摘要 本篇博客主要记录使用Verilog实现对脉冲的上升沿和下降沿检测; 下面的链接是博主所写的“基于basys2的按键消抖”,其中状态机实现按键消抖使用了本篇博客所介绍的边缘检测电路。 https://www.cnblogs.com/zqh1126/p/14406822.html 二、电路结构 阅读全文
posted @ 2023-01-07 16:54 anzg256 阅读(382) 评论(0) 推荐(0) 编辑
摘要: 一、基本概念 1. 数电中关于时序逻辑电路的分类 时序逻辑电路通常可按电路的状态变化特点或电路的输出信号特点进行分类。 按照电路的状态变化特点可分为:同步时序逻辑电路和异步时序逻辑电路。 按照电路的输出信号特点可分为:Mealy型时序逻辑电路和Moore型时序逻辑电路。 2.Mealy型电路和Moo 阅读全文
posted @ 2022-12-19 17:49 anzg256 阅读(574) 评论(0) 推荐(0) 编辑