摘要: 一、概述 本篇博客主要介绍:使用计数器来得到自己想要的的一段脉冲信号。 二、实现方法及代码 1、框图,端口及相关信号 2、代码实现 Verilog实现 `timescale 1ns/1ps module data_cnt ( input clk, //50MHZ 20ns input rstn, i 阅读全文
posted @ 2023-01-11 21:12 anzg256 阅读(74) 评论(0) 推荐(0) 编辑