2012年8月24日

[转帖]如何在quartusII中调用modelsim-altera_百度文库

摘要: 2013-04-18 13:28:19在使用quartusII直接链接到modelsim进行仿真时,出现的问题是模块与外部连接的信号找不到,原因不清楚,不过好像把这个模块的命名改成其他就可以来源:http://www.e-works.net.cn/Articles/EDA/Article87623.htm1 Altera官网下载两款软件并安装 下载网址:https://www.altera.com/download/software/modelsim/zh_cn,选择Quartus II 网络版、ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是 阅读全文

posted @ 2012-08-24 14:25 zlh840 阅读(2006) 评论(0) 推荐(0) 编辑

2012年8月23日

[笔记]Altera的altlvds收发验证

摘要: 有时间可以学习下DDR3\DDR2\RAM\ROM\FIFO\DMA(直接内存存取)等存储器的应该,按李永杰师兄说,关键是参数的设定和测试程序。1、bts_htmc.qar这是quartusII压缩包,可以用quartusII打开!!!这个压缩包可以通过quartus的project菜单有个archive菜单生成。2、在进行LVDS验证时,可以用收发的loopback。这个在XilinxSpartan6开发板上也是这样验证了,你可以模仿下哈。加油。。。3、我们公司选用的器件是Arria V:5AGXFB3H6F35C6ES。4、李永杰提供的是基于StratixIV的LVDS验证,用的Quart 阅读全文

posted @ 2012-08-23 11:51 zlh840 阅读(818) 评论(0) 推荐(0) 编辑

[转帖]职位说明

摘要: 来源:http://home.51.com/ls309333100/diary/item/10045449.html产品/项目工程 PE 是一个工种,英文是product Engineering 产品工程, 但也有人翻译成project Engineering项目工程. product Engineering 产品工程,是技术员,就叫PE技术员;是工程师,就叫PE工程师。这个职位在工厂里主要是解决生产过程中出现的问题,及做作业指导书。属于职位比较低级的。 project Engineering项目工程。是专对某个产品,进行统筹,及专案管理。往往在公司中的级别较高。 IE: 工业工程(Indus 阅读全文

posted @ 2012-08-23 09:04 zlh840 阅读(314) 评论(0) 推荐(0) 编辑

2012年8月22日

[笔记] 55寸屏幕的点亮

摘要: //////////////////////////////////////////////////////signal_gensignal_gen( .reset (rst_o|start_r), .clkin (otx_data_clk ),.psw2(psw2_rst),.dataout (tx_odata ));assigntx_edata=tx_odata;//这两个模块的输入和输出完全一样,只是输出时命名不一样,//因此可以用assign语句实现 ,这是洪鸿榕通过warning发现的。GOOD! /* signal_gensignal_gen_1(.reset (rst_o|sta 阅读全文

posted @ 2012-08-22 13:14 zlh840 阅读(201) 评论(0) 推荐(0) 编辑

2012年8月1日

[转帖]EDID定义

摘要: 来源:http://www.ibm.com/developerworks/cn/linux/l-playscreen/ EDID(Extended Display Identification Data) 是一种 VESA 标准数据格式,其中包含有关监视器及其性能的参数,包括供应商信息、最大图像大小、颜色设置、厂商预设置、频率范围的限制以及显示器名和序列号的字符串。这些信息保存在 display 节中,用来通过一个 DDC(Display Data Channel)与系统进行通信,这是在显示器和 PC 图形适配器之间进行的。最新版本的 EDID 可以在 CRT、LCD 以及将来的显示器类型中. 阅读全文

posted @ 2012-08-01 18:25 zlh840 阅读(2093) 评论(0) 推荐(0) 编辑

2012年7月24日

[转帖]使用逻辑分析仪时如何防止reg_wire型信号被优化掉

摘要: 使用逻辑分析仪时如何防止reg_wire型信号被优化掉来源:http://www.nucfrank.com/?p=34随着FPGA设计复杂程度越来越高,芯片内部逻辑分析功能显得越来越重要。硬件层次上的逻辑分析仪价格十分昂贵,而且操作比较复杂。目前,FPGA芯片的两大供应商都为自己的FPGA芯片提供了软件层面上的逻辑分析仪,可以帮助我们在线分析芯片内部逻辑。而且操作简单方便。但是往往因为某些原因,有些信号在综合的时候就会被优化掉,就可能会导致我们的设计失败,当然在为逻辑分析仪添加观察信号的时候也无法找到该信号。从而对设计、调试人员的工作带来一定的不便。下面就分别以Xilinx公司的逻辑分析仪Ch 阅读全文

posted @ 2012-07-24 19:25 zlh840 阅读(1676) 评论(0) 推荐(0) 编辑

2012年7月23日

[转帖]inout的使用

摘要: 来源:http://bbs.ednchina.com/BLOG_ARTICLE_146161.HTM来源:http://www.cnblogs.com/whut-xxxy/archive/2011/05/07/2039661.htmlinout口在testbench中要定义为wire型变量。对双向口,我们可以将其理解为2个分量:一个输入分量,一个输出分量。另外还需要一个控制信号控制输出分量何时输出。此时,我们就可以很容易地对双向端口建模。例子:CODE:module dual_port (....inout_pin,....);inout inout_pin;wire inout_pin;wi 阅读全文

posted @ 2012-07-23 21:00 zlh840 阅读(312) 评论(0) 推荐(0) 编辑

[笔记]Spartan6和Spartan3A

摘要: 来源:http://bbs.ednchina.com/BLOG_ARTICLE_3003106.HTMSpartan6时钟资源管理介绍1.注意时钟的输入与输出范围,所有应用不能超过范围.。如Spartan-6 器件DCM的DLL模块的时钟输入范围如下(以下摘自Spartan-6 DATA SHEET):速度等级为-1L的为器件5MHz~175MHz。速度等级为2的为器件5MHz~250MHz。速度等级为3和4的为器件5MHz~280MHz。4.PLL与DCM的级联选择a. PLL输出驱动DCM模块,优点是在输入DCM模块前可减少输入时钟的抖动,同时又可以使用户能构访问所有DCM模块的输出信号, 阅读全文

posted @ 2012-07-23 18:27 zlh840 阅读(3774) 评论(0) 推荐(0) 编辑

2012年7月18日

[转帖] I2C控制问题

摘要: 2013-02-19 15:50:37I2C总线器件应用实例 http://wenku.baidu.com/view/98030a110b4e767f5acfce50.html讲得通俗易懂。I2C_Master和I2C_Slave两种控制方式。对于Slave而言,SCL是输入信号。对于Master而言,SCL是输出信号。都涉及到从器件地址、寄存器地址和数据(双向传输)。本设计中I2C_Master用于控制PCA9634芯片。PCA9634是一款通过I2C总线控制的8位LED驱动器,该驱动器特别为红/绿/蓝/琥珀(RGBA)色的混合应用进行了优化。每个LED输出都有自己的8位分辨率(256级)固 阅读全文

posted @ 2012-07-18 10:25 zlh840 阅读(1017) 评论(0) 推荐(0) 编辑

2012年5月28日

[转贴]HSL与RGB关系及CMYK

摘要: 2015-05-04 17:22:20电视主板打开展频的原因。首先要了解EMC的原理:电磁兼容EMC的测试项目 EMC实验室租场测试 EMC的测试标准电磁兼容(EMC)是对电子产品在电磁场方面干扰大小(EMI)和抗干扰能力(EMS)的综合评定,是产品质量最重要的指标之一,电磁兼容的测量由测试场地和测... 阅读全文

posted @ 2012-05-28 17:09 zlh840 阅读(1917) 评论(0) 推荐(0) 编辑

导航