2011年7月9日

[转帖]VHDL中Configuration

摘要: 来源:http://waxman.ycool.com/post.1804338.htmlVHDL配置(Configuration)语句描述层与层之间的连接关系以及实体与构造体之间的对应关系。设计者可以利用这种配置语句来选择不同的构造体,使其与要设计的实体相对应。在仿真某一个实体时,可以利用配置来选择不同的构造体,进行性能对比试验,以得到性能最佳的构造体。最简单的配置语句,结构如下:CONFIGURATION 配置名 OF 实体名 IS FOR 为实体选配的构造体名 END FOR;END 配置名;举例说明:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use 阅读全文

posted @ 2011-07-09 09:25 zlh840 阅读(2430) 评论(0) 推荐(0) 编辑

导航