2010年12月21日

[转帖]通过文件读写方式实现Matlab和Modelsim的联合仿真

摘要: http://blog.ednchina.com/duanwenbo2004/285466/message.aspx#虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab会大大加快算法验证的速度。 关于Matlab和Modelsim联合仿真,我从网上看到两种方法,一种是通过Link for Modelsim建立Matlab和Modelsim的联合仿真接口 阅读全文

posted @ 2010-12-21 20:27 zlh840 阅读(488) 评论(0) 推荐(0) 编辑

导航