摘要: 今天晚上吃饭的时候在想,Quartus好像有个功能是可以可视化生成模块,自己用的是Icarus Verilog小强大的东西却没有这个功能.于是乎我就下自己做个.使用工具Python + PIL.PIL仅可以用来处理图片.也可以生成和绘制图片.生成图片代码如下:img = Image.new("RGB",(640,480))绘制图片需要先导入包ImageDraw.然后代码如下draw = ImageDraw.Draw(img)#xxx 就可以绘制形状了具体的可以看官方文档http://www.pythonware.com/library/pil/handbook/index. 阅读全文
posted @ 2012-04-01 22:57 zhuangzhuang1988 阅读(461) 评论(0) 推荐(0) 编辑
摘要: 这次再写个简单的verilog程序计数器module counter();reg clk;reg[3:0] count;initial begin clk = 0; count = 0; #100 $finish; end initial begin $dumpfile("test.vcd"); $dumpvars(); //$monitor("time= %d clk = %b count=%d", //这句用在命令行下面监控的 // $time... 阅读全文
posted @ 2012-04-01 14:40 zhuangzhuang1988 阅读(414) 评论(0) 推荐(0) 编辑