摘要: 本来打算搞VHDL的,但是怎么都没有找到个好的小巧的编译器+模拟器.Verilog跟VHDL差不多就试试它啦o(∩_∩)o .直接看的是http://www.asic-world.com/verilog/veritut.html这里的教程.Icarus Verilog官方地址在http://iverilog.icarus.com/,windows版的在http://bleyer.org/icarus/一共就7M.安装后有主要的三个工具iverilog.exe--编译工具vvp.exe模拟运行的gtkwave.exe显示模拟结果的.以一个简单的编码程序说明下.输入是 0x0002输出就是1,输入 阅读全文
posted @ 2012-03-30 12:59 zhuangzhuang1988 阅读(10983) 评论(1) 推荐(1) 编辑