摘要: 1 // 2 // Verilog Module demo1_lib.bus_arbitor.arch_name 3 // 4 // Created: 5 // by - Newhand 6 // in - Shanghai ZhangJiang 7 // at - 20:39:41 2003-12-03 8 // using Mentor Graphics HDL Designer(TM) 9 // 10 /////////////////////////////////////////////////////////... 阅读全文
posted @ 2012-01-16 14:55 宫藏嘉辈 阅读(5175) 评论(1) 推荐(0) 编辑
摘要: zz 阅读全文
posted @ 2012-01-16 14:34 宫藏嘉辈 阅读(375) 评论(0) 推荐(0) 编辑
摘要: 试试粘贴world上的图片 在试试插入代码 // +FHDR ----------------------------------------------------------------------- // Copyright (c) 2006, Hongsi. // Hongsi Confidential Proprietary // 2006/08/21 /... 阅读全文
posted @ 2012-01-16 14:13 宫藏嘉辈 阅读(273) 评论(0) 推荐(0) 编辑