摘要: [导读]Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少在仿真过程中被验证过了,代码覆盖率分析包括以下分析内容。对于复杂的设计来说,Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少在仿真过程中被验证过了,代码覆盖率分析包括以下分析内容。1、语句覆盖率(statementcoverge),又称为声明覆盖率,用于分析每个声明在验证过程中执行的次数。例如:always@(areq0orareq1)begingnt0=0 阅读全文
posted @ 2013-03-22 11:01 zhoususheng 阅读(2328) 评论(0) 推荐(1) 编辑
摘要: VMM:Verification Methodology Manual 验证方法学AVM:Advanced Verification Methodology高级验证方法学UVM:通用验证方法学OVM:Open Verification MethdologySCV: SystemC Verification Library SystemC验证库AMS:Analog Mixed-signal 模拟混合信号RTL:Register Transfer Level寄存器这一级别的描述方式来描述电路的数据流方式,描述的目标就是可综合BL:Behavior Level 行为级是RTL的上层描述,比RTL更抽 阅读全文
posted @ 2013-03-22 10:39 zhoususheng 阅读(1425) 评论(0) 推荐(0) 编辑
摘要: 为了增加可信度,先八卦一下本人的经历,本人从事IC这个行当超过十年,最开始的设计是用原理图方式做的,新千年后转向两个HDL语言 ,从事的主要是通讯芯片的设计和验证工作,最近的一个完成的事情是建立一个团队并实现大规模复杂芯片的验证平台,用的主要技术 手段是SC/SV+OVM. 平心而论,本人决非所谓高手、牛人。所谓的高手是什么,举个例子,IC行业用TCL语言的人不少,这个语言的发明人觉得研究中用C不爽,干脆自己写一个语言好了,同样的例子是linux和android的发明人,这些才是典型的高手。 所谓以无厚入有间就是指这种人。 我想来论坛一定有高手,只是大音希声,大道无形罢了! 之所以想讲一下验证 阅读全文
posted @ 2013-03-22 10:01 zhoususheng 阅读(228) 评论(0) 推荐(0) 编辑