Logisim

引脚转换一下输出标签就可以在两个之间转换。 

Mux多路选择器置0时候选择0为输出。

priject里面可以分析电路

simulate可以控制时序信号。

点击分析电路可以自动生成电路。不过位数只能一位

 

 

 

posted @ 2019-11-20 17:29  QianweiZ  阅读(704)  评论(0编辑  收藏  举报