FPGA Player

好记性不如烂笔头

导航

2012年10月5日 #

FPGA的状态死锁问题

摘要: 任何一个状态机都需要有初始化的动作,否则就会出现死锁现象,当芯片上电或者复位后,状态机应该能够自动将所有的判断条件复位,并进入初始化状态,一般采用异步复位电路来实现状态机的初始化。在状态初始化时,需要防止出现伪初始化或者说不完全初始的情况特别是针对一些总线或者有位宽要求的输出信号和变量。未完全初始化... 阅读全文

posted @ 2012-10-05 08:12 中国的孩子 阅读(871) 评论(0) 推荐(0) 编辑

2012年9月25日 #

SCCB协议

摘要: SCCB(OmniVision serial camera control bus),即串行摄像机控制总线。OmniVision 公司已经定义和采纳的SCCB总线是一种三线结构的串行总线,用于完成对绝大多数OmniVision 系列图像传芯片功能的控制。在简化的引脚封装中,SCCB总线可以工作在改进... 阅读全文

posted @ 2012-09-25 11:25 中国的孩子 阅读(18838) 评论(0) 推荐(0) 编辑

2012年9月24日 #

FPGA之VGA控制

摘要: VGA的接口很少,一个行,一个场,三个RGB信号口,不同的分辨率对应不同的时钟频率, 这里以800*600的50M的时钟为例,它的行同步脉冲长度为120,行同步后沿脉冲计数为 64,行可视区脉冲为800,行同步前沿脉冲为56,也就是说,用50M的时钟来驱动,行信号 先给120个时钟的低电平,然后是6 阅读全文

posted @ 2012-09-24 17:22 中国的孩子 阅读(1619) 评论(0) 推荐(0) 编辑

2012年9月19日 #

MODELSIM常用功能

摘要: Modelsim界面的窗口如果关闭了,可以从View菜单下打开,Workspace是例化窗口,Objects是对 应例化文件中相对应的接口,无论你的工程文件中有多少个文件,都可以一一找到它对应的接口 如图在例化i1中,SDRAM_WR...模块中,右面是它对应的管脚。 (1)修改时间刻度 右击WAV 阅读全文

posted @ 2012-09-19 08:01 中国的孩子 阅读(3362) 评论(3) 推荐(1) 编辑

2012年9月16日 #

FPGA常用术语

摘要: IOE(Input And Output Element)输入和输入出单元,输入; IOE input 输入; 阅读全文

posted @ 2012-09-16 16:27 中国的孩子 阅读(321) 评论(0) 推荐(0) 编辑

2012年9月5日 #

FPGA的SDRAM操作

摘要: SDRAM的所有操作同步与时钟。根据时钟上升沿控制管脚和地址输入的状态,可以产生多种输入命令。 SDRAM的初始化 SDRAM的初始化过程如上图,但是要具体到操作,还要更具体的来讲: (1)200US的延时,只要用一个时钟计数器,等待期间发送NOP命令即可; (2)所有L-BANK的预充电,根据我看 阅读全文

posted @ 2012-09-05 11:12 中国的孩子 阅读(11129) 评论(3) 推荐(1) 编辑

2012年8月16日 #

乘法器之六(硬件乘法器)

摘要: 16. 用DSP块或者逻辑资源实现乘法器Altera提供3种利用DSP块或者逻辑资源的QuartusII Megafunction来实现不同的乘法(multiply)、乘累加(multiply-accumulate)和乘加(multiply-add)函数。lpm_mult Megafunction只实现乘法功能。altmult_add Megafunction可以实现乘法或者乘加功能。altmult_accum Megafunction只能实现乘累加功能。7. 硬件乘法器StratixII、Stratix和StratixGX器件中的硬件乘法器由DSP块和逻辑资源联合实现,而CycloneII器 阅读全文

posted @ 2012-08-16 09:17 中国的孩子 阅读(9436) 评论(0) 推荐(1) 编辑

2012年8月15日 #

算术运算符

摘要: VHDL算术运算符在VHDL中,算术运算符用来执行算术运算操作。操作数可以是INTEGER,SIGNED,UNSIGNED或REAL数据类型,其中REAL类型是不可综合的。如果声明了ieee库中的包集std_logic_signed和std_logic_unsigned,即可对STD_LOGIC_VECTOR类型的数据进行加法和减法运算。VHDL语言有以下算术运算符:+ 加- 减* 乘/ 除** 指数运算MOD 取模REM 取余ABS 取绝对值上述运算符中,加法、减法和乘法运算符是可以综合成逻辑电路的,对于除法运算,只有在除数为2的n次幕时才有可能进行综合,此时除法操作对应的是将被除数向右进行 阅读全文

posted @ 2012-08-15 19:58 中国的孩子 阅读(785) 评论(0) 推荐(0) 编辑

乘法器之五(混和式乘法器(Hybrid multiplication))

摘要: 4) 混和式乘法器(Hybrid multiplication)混合乘法器模式结合了半并行和乘加两种乘法器模式,它有不同的两个输入位流,并且乘以不同的系数。这种模式在象FFTs这样的有复数乘法应用中非常有用,复数信号通常包含实部和虚部两部份,所以乘法实现的时候可以分开乘以不同的系数值。每个部份产生的部份积被累加产生最终的结果。混合乘法器模式中,每个时钟周期里从两组输入数据中依次选取相同的位数拼接起来,送到到RAM块的地址端口,低位先送。比如RAM块的地址端口只有四位时,那么从每个输入数据中依次选取2位来计算,直到输入数据的所有位都被送入RAM块地址端口。这样,一个16位输入总线共需要八个时钟周 阅读全文

posted @ 2012-08-15 16:45 中国的孩子 阅读(1090) 评论(0) 推荐(0) 编辑

乘法器之四( 乘加器(Sum of multiplication))

摘要: 3) 乘加器(Sum of multiplication)乘加模式乘法器的运算结果就是一系列输入数据(乘数)乘以一系列被乘数所产生的部份积的和。这种乘加结构易于实现MAC(乘-累加)函数,乘累加运算在FIR滤波器等应用中非常常见。乘法器的每个输入数据(乘数)被乘到一个特定的系数(或者叫被乘数),然后把所有乘积项相加后得到最终的乘法结果。在乘加器模式下,每个输入总线每个时钟周期送一位到存储器的地址端口,低位先送。如果乘法器块有四个输入总线(分别是A、B、C和D),那么第一个时钟送入的四个输入的最低位形成一个4位的 RAM块地址。下一个时钟,送入四个输入总线的次低位形成RAM块的第二个4位地址值, 阅读全文

posted @ 2012-08-15 16:43 中国的孩子 阅读(3706) 评论(0) 推荐(0) 编辑