FPGA Player

好记性不如烂笔头

导航

2013年7月24日 #

VHDL数据类型

摘要: VHDL表示16进制如 a : std_logic_vector(7 downto 0)把0x55赋给aa <= x"55";b表示二进制 b“1011_1111” 2#1010#o表示八进制o“125” 8#125#十进制 10#120#用单引号引起来的ASCII值,也可以表示数值‘E’,‘%’,‘22’一维的字符数组,需要放在双引号中,“abcd”表示信号某一位的方法a(3)自然数表示法natural正整数表示法:positive 阅读全文

posted @ 2013-07-24 20:51 中国的孩子 阅读(2346) 评论(0) 推荐(0) 编辑

2013年7月10日 #

MATLAB矩阵的一些用法

摘要: 1。怎样去提取和修改矩阵中的一个元素。(1)创建一个矩阵>> A=[1,2,3,4;5,6,7,8;9,10,11,12;13,14,15,16]A = 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16(2)提取矩阵中的一个元素>> A(1,1)ans = 1(3)修改这个元素>> A(1,1) = 8;(4)再次输出时,矩阵的改元素值已经改变>> AA = 8 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16>> 阅读全文

posted @ 2013-07-10 07:15 中国的孩子 阅读(381) 评论(0) 推荐(0) 编辑

2013年6月8日 #

FDATOOL

摘要: 一直不知道怎么调用通过FDATOOL生成的M文件,别人都在讲滤波器怎么设计,我却一直想知道滤波器怎么用,真可笑了。Fs = 6000; % Sampling FrequencyFpass = 560; % Passband FrequencyFstop = 600; % Stopband FrequencyDpass = 0.057501127785; % Passband RippleDstop = 0.0001; % Stopband Attenuationdens = 20; % Density Factor% Calculate the order from the parameter 阅读全文

posted @ 2013-06-08 16:36 中国的孩子 阅读(1475) 评论(0) 推荐(0) 编辑

2012年11月3日 #

VHDL语法

摘要: case语句case data iswhen "000" => y y y y y y y y <= "0000000";end case;LOOP语句library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigne... 阅读全文

posted @ 2012-11-03 21:23 中国的孩子 阅读(471) 评论(0) 推荐(0) 编辑

ad8582

摘要: DIP封装:内部结构:引脚描述:Vout1,Vout2:数模转换输出管脚,固定的电压输出范围是0V~4.095V,1mV/LSB.AGND:模拟地DGND:数字地LDA:DACA寄存器装载选通端,把输入寄存器中的数据转移到DAC寄存器中,输入低电平有效,电平敏感锁存。LDB:DACB寄存器装载选通端... 阅读全文

posted @ 2012-11-03 13:35 中国的孩子 阅读(829) 评论(0) 推荐(0) 编辑

dac0832

摘要: DAC0832的应用如图,DAC0832是倒梯形电阻网络D/A转换器。IOUT1与反馈管脚RFB,当然DAC0832也可以另选反馈电阻接到IOUT1与VO之间,外接的参考电压VREF必须保证有足够的稳定性,才能确保应有的转换精度。别外当VFER为正时输出电压为负,当该参考电压为负时输出电压为正,参考... 阅读全文

posted @ 2012-11-03 13:29 中国的孩子 阅读(1917) 评论(0) 推荐(0) 编辑

2012年11月2日 #

MATLAB 命令

摘要: MATLAB新建工作目录cd f:/ %把工作目录转到D盘根下;mkdir f:\MyProject\Ch1\Example\ %这样在F盘下就会生成MyProject文件夹, 在MyProject文件夹下就会生成Ch1文件夹, 在Ch1文件夹下就会生成Example文件夹cd \MyProject\Ch1\Example %打开Example文件夹cd是切换工作目录的Matlab命令,mkdirJ 建立新目录的Matlab命令。 阅读全文

posted @ 2012-11-02 20:02 中国的孩子 阅读(279) 评论(0) 推荐(0) 编辑

2012年10月30日 #

模二除

摘要: 今天看CRC校验,看到了模二除,在这里把相关的“模二和”、“模二除”、“模二减”来总结一下:1、模二和的运算法则是:0+0=0 0 + 1 = 1 1+ 0 = 1 1+ 1 = 0理解:两个二进制数相加不考虑进位,例如01 + 11 = 10,对于两个数的低位都是1,进行模二和为0,但是没有进位,... 阅读全文

posted @ 2012-10-30 17:45 中国的孩子 阅读(3968) 评论(1) 推荐(1) 编辑

2012年10月16日 #

SRAM的控制程序

摘要: 虽然SRAM的时序比起SDRAM要简单的多,但我是耗了好几天的时间,更让人纠结的是 我竟然会把管脚分配错误,耽误了两天。希望大家给看看,指点一二也好。 //DATA_EN会有一个周期的高电平,如果DATA_EN为高电平说明://如果在SRAM的写过程已经写完了一位,数据和地址可以再次产生//如果在S 阅读全文

posted @ 2012-10-16 22:12 中国的孩子 阅读(1368) 评论(2) 推荐(0) 编辑

2012年10月13日 #

modelsim的do文件

摘要: 怎样添加ALTERA的元件库。今天总算可以了。set LIBPATH D:/altera/90/quartus/eda/sim_libvlib sim_libvmap sim_lib sim_lib#vlog -work sim_lib $LIBPATH/altera_mf.v#vlog -work... 阅读全文

posted @ 2012-10-13 22:13 中国的孩子 阅读(2374) 评论(0) 推荐(0) 编辑