FPGA Player

好记性不如烂笔头

导航

2013年9月14日 #

时序问题1

摘要: 今天看了点时序上的问题,拿了一个以前的程序作实验, 把上图选择为all path之后,关于保持时间的时序问题就没有了,如下图 看来时序约束还是有效的。 关于时序约束的问题我还不是懂,慢慢再看了。 阅读全文

posted @ 2013-09-14 19:16 中国的孩子 阅读(221) 评论(0) 推荐(0) 编辑

2013年8月21日 #

VHDL的库

摘要: STD_LOGIC_ARITH扩展了UNSIGNED、SIGNED、SMALL_INT(短整型)三个数据类型,并定义了相关的算术运算和转换函数。--================================================library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity mux1 isport(sclk : in std_logic;rst_n: in std_logic;count: buffer std_logic_vector(4 downto 0));end m 阅读全文

posted @ 2013-08-21 19:41 中国的孩子 阅读(645) 评论(0) 推荐(0) 编辑

2013年8月19日 #

怎样屏蔽掉以前的设置信息

摘要: 今天用Signal TapII,可是怎么也找不到时钟,大概是在哪儿设置了什么东西吧,把时钟屏蔽了,高手也解决不了。只有重新建一个工程了,可是要知道,重新建立的工程,会保留上一个工程的设置,所以要屏蔽到上次的设置。打开Quartusii创建新工程输入工程名字的page界面,我们看到下面有一个use Exisiting Project Setting按键,去掉Copy setting from specified project as default setting 选项,即可去掉上一个工程的默认的设置。 阅读全文

posted @ 2013-08-19 20:25 中国的孩子 阅读(238) 评论(0) 推荐(0) 编辑

2013年8月12日 #

MATLAB常用函数

摘要: Matlab的内部常数 pi 圆周率 exp(1) 自然对数的底数e i 或j 虚数单位 Inf或 inf 无穷大 Matlab的常用内部数学函数 指数函数 exp(x) 以e为底数 对数函数 log(x) 自然对数,即以e为底数的对数 log10(x) 常用对数,即以10为底数的对数 log2(x 阅读全文

posted @ 2013-08-12 22:02 中国的孩子 阅读(1452) 评论(0) 推荐(0) 编辑

2013年8月5日 #

三态门及数据缓冲器 双向口的用法

摘要: 1、三态门指逻辑门电路的输出不仅有高电平、低电平,还有高阻态它有一个使能控制端EN ,一个数据输入端DATAIN和一个数据输出端DATAOUT2、单总线缓冲器它通常由多个三态门组成,3、双向总线缓冲器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity bidr_io1 isport(mclk : in std_logic ;rst_n : in std_logic;mdata : inout std_logic_vector(15 downto 0);sdata1: inout std_log 阅读全文

posted @ 2013-08-05 20:28 中国的孩子 阅读(1769) 评论(0) 推荐(0) 编辑

siganl tappII的应用及MATLAB调用

摘要: 1、在应用SIGNAL TAPPII时一定要把不用的端口设置为输入三态,否则观察不到数据。 2、用SIGNAL TAPII 观察数据时双向是观察不到数据的,但模块内部用于传输双向口数据的寄存器可以看到数据。 在一个.stp文件中可以创建多个实例。不同的实例可以同时运行,可以使用不同的时钟域。还可对修 阅读全文

posted @ 2013-08-05 20:21 中国的孩子 阅读(589) 评论(0) 推荐(1) 编辑

VHDL 中的数据转换函数

摘要: 2013年8月5日ieee.std_logic_arith.allSXT:是对std_logic_vector转换成std_logic_vector数据类型,并进行符号扩展。 <= SXT(slv_sig,integer);比如:10010 扩展两位的符号位变成 1110010,不会影响到数据的加减1110010= sxt(10010,2);EXT:把std_logic_vector转换成std_logic_vector数据类型并进行0位扩展。例如:DATA = “0100”DATAB <= EXT(DATA,2);则DATAB 为 "000100" 阅读全文

posted @ 2013-08-05 19:38 中国的孩子 阅读(1737) 评论(0) 推荐(0) 编辑

2013年7月29日 #

库、程序包

摘要: STD_LOGIC_1164:在该程序包中定义了数据类型STD_logic或STD_LOGIC_VECTOR逻辑运算符AND、NAND、OR、NOR、NOT的重载函数及两个转换函数,用于BIT 和STD_LOIGC或BIT_VICTOR和STD_LOGIC_VECTOR的相互转换。std_logic... 阅读全文

posted @ 2013-07-29 20:28 中国的孩子 阅读(207) 评论(0) 推荐(0) 编辑

端口模式(IN,OUT,INOUT,BUFFER)

摘要: in:OUT:INOUT:BUFFER:缓冲模式,与OUT类似可作为输出使用,但也可把输出的信号作为输入使用。 阅读全文

posted @ 2013-07-29 20:16 中国的孩子 阅读(2353) 评论(0) 推荐(0) 编辑

2013年7月28日 #

VHDL实例化过程

摘要: 第二步:建立一个名为MUX_0的乘法器第三步:在程序中例化,看以下程序。--该程序用来实现复数的乘法,端口分别定义的复数的--输入的实部和虚部和输出的实部和虚部LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;LIBRARYLPM;USELPM.LPM_COMPONENTS.ALL;ENTITYplural_muxISPORT(r_in:INSTD_LOGIC_VECTOR(7DOWNTO0);i_in:INSTD_LOGIC_VECTOR(7DOWNTO0);r_out:OUTSTD_LOGIC_VECTOR(15DOWNTO0);i_out:OUTSTD_LOG 阅读全文

posted @ 2013-07-28 11:04 中国的孩子 阅读(1655) 评论(0) 推荐(0) 编辑