FPGA Player

好记性不如烂笔头

导航

2014年10月15日 #

momery

摘要: reg [7:0] moma [255:0] ;//定义一个位宽为8,浓度为什么256的memory. parameter wordsize = 8; parameter memsize = 256; reg[wodrsize-1:0] moma [memsize-1:0] ;//momery 的索 阅读全文

posted @ 2014-10-15 19:11 中国的孩子 阅读(353) 评论(0) 推荐(0) 编辑

2014年10月10日 #

常用问题处理

摘要: 1、“台阶”波形,ALC或AD保护起作用,当检测到功率较大时就打1dB衰减,直到功率小于某个值。当起控后功率变小后再减少1dB衰减,直到完全放开。在老的网分上就能到”台阶“功率检测存在时延。2、对FPGA接收到的AD的数据进行分析,看下时钟是好是坏。如果时钟和数据的建立和保持时间正确,可以看到当di... 阅读全文

posted @ 2014-10-10 19:03 中国的孩子 阅读(152) 评论(0) 推荐(0) 编辑

2014年9月25日 #

modelsim仿真中遇到的问题

摘要: 1、modelsim经常遇到数据位宽不相等的情况,这样往往仿真时是不会出数据的,如果用parameter定义了数据的位宽,要注意实际的位宽数大于parameter定义参数能表示的位宽时,如:parameter WIDTH = 5'd46,要注意5位的数据宽度最大能表示的数是31,46已经溢出。2、#... 阅读全文

posted @ 2014-09-25 21:58 中国的孩子 阅读(942) 评论(0) 推荐(0) 编辑

2014年9月24日 #

modelsim编译altera的库

摘要: http://www.cnblogs.com/LJWJL/p/3515586.html在modelsim的安装目录下,把配置文件modelsim.ini的只读属性去掉,然后在modelsim中运行Tcl中的编译库部分,编译的库会自动添加到配置文件modelsim.ini中去,然后,再把modelsi... 阅读全文

posted @ 2014-09-24 22:12 中国的孩子 阅读(321) 评论(0) 推荐(0) 编辑

2014年9月22日 #

verilog系统函数用法

摘要: random_function ::= $random [ ( seed ) ] $random产生一个32位的有符号数,seed可心是reg,integer,time类型 Example 1—Where b is greater than 0, the expression ($random % 阅读全文

posted @ 2014-09-22 19:54 中国的孩子 阅读(7977) 评论(2) 推荐(1) 编辑

2014年8月15日 #

123

摘要: http://bbs.21ic.com/icview-702538-1-1.htmlhttp://www.csit-sun.pub.ro/ise/Mentor_Graphics/ 阅读全文

posted @ 2014-08-15 22:07 中国的孩子 阅读(128) 评论(0) 推荐(0) 编辑

2014年3月3日 #

MATLAB实现截位的问题

摘要: 讨论MATLAB怎样提取10进制中的位的方法,因为做FFT时要用到截位,相去验证它,向同庆请教,原来只是除以2的N次方,取模取余就行了,可恨我还想了一下午,也没有一个好办法。接下来的问题是,对于负数我该怎么处理。无论是有符号还是无符号数,如果做加减的话,都可以当做无符号数处理。但对于乘法运算则不然。而蝶形运算是有乘法的,所以不能简单做为无符号数处理。具体实现过程如下:%%=============================================================================%%对第一级的输出进行截位处理%%=================== 阅读全文

posted @ 2014-03-03 20:32 中国的孩子 阅读(2337) 评论(0) 推荐(1) 编辑

2013年12月1日 #

加减与有符号和无符号库

摘要: libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymult_testisport(sclk:instd_logic ;a:instd_logic_vector(3downto0);b:instd_l... 阅读全文

posted @ 2013-12-01 22:55 中国的孩子 阅读(390) 评论(0) 推荐(0) 编辑

2013年9月14日 #

状态机中的RAM注意的问题--减少扇出的办法

摘要: 可能我不会抓紧时间,所以做事老是很慢。最近在整维特比译码过程深感自己有这样的毛病。每天会有一点进展,但是却是一天的时间,感觉别人都做起事情来很快。可能这个东西有点难,做不做得出来都不要紧,但我的想法一直都是做一件事,就要把它做好的,所以还应该打起精神开动思维去写程序调程序。在读RAM时,第一个状... 阅读全文

posted @ 2013-09-14 19:39 中国的孩子 阅读(819) 评论(1) 推荐(0) 编辑

串口的时序

摘要: 串口在学校就是写过的,最近又重新写了一下,其实很简单,只是一个时序而已,在这里记下来,做个小总结,怕忘记了再查的话麻烦: 接收:接收时序是当检测 到RX引脚有下降沿产生时,即认为线路有数据传输,下降沿是接收数据的起始位,然后是8位的数据位,最后一个高电平表示结束位(或终止位),数据接收过程,按从低位 阅读全文

posted @ 2013-09-14 19:32 中国的孩子 阅读(5580) 评论(0) 推荐(0) 编辑