FPGA Player

好记性不如烂笔头

导航

上一页 1 ··· 13 14 15 16 17 18 19 20 21 ··· 23 下一页

2015年3月29日 #

归约运算符

摘要: 归约运算符是一元操作数,相当于C中的单目运算符。它是对一个操作数进行位操作,最后得到一个一位的数。 归约运算的过程是第一步先用操作数的第一位和第二位进行位操作,然后再用第一步的结果和操作的数的下一位 进行位操作,如此重复直到最后一位。 1、归约与 2、归约或 3、归约异或 一个例子 a = 4'b0 阅读全文

posted @ 2015-03-29 18:21 中国的孩子 阅读(1418) 评论(0) 推荐(0) 编辑

位操作符

摘要: 两个操作数的相同位进行位操作1、位与2、位或3、位异或4、同或5、取非如果两个操作数长度不相等时,就在位数的数据前面补0。 阅读全文

posted @ 2015-03-29 18:00 中国的孩子 阅读(176) 评论(0) 推荐(0) 编辑

二进制处理中的一些技巧

摘要: 在二进制和十进制的处理中,有时候一些小技巧是很有用的。 1、把十进制数转换成二进制数 (1)在MATLAB中有一个函数dec2bin,可以把正整数转换为2进制 (2)对于负数有这样一个结论:N位二进制负数X的补码对应的无符号数为2N +X 例:有符号原码:1001 十进制为:-1 反码: 1110 阅读全文

posted @ 2015-03-29 16:53 中国的孩子 阅读(809) 评论(0) 推荐(0) 编辑

2015年3月17日 #

ics

摘要: 5、网分用法时延测试:Format ->DelayScale Ref -> AUTO SCALEMarker Search -> TRACKING【ON OFF】这样以后把Marker 调到子带上增益测试:Formate ->GainMarker Fctn -> marker search[max]... 阅读全文

posted @ 2015-03-17 18:51 中国的孩子 阅读(361) 评论(0) 推荐(0) 编辑

2014年11月23日 #

关于FIR的modelsim

摘要: (1)FIR ip核仿真 (2)FIR 多通道应用 (3)多通道fir ip核需要注意的复位问题 (1)FIR ip核仿真 关于FIR的modelsim仿真一直困惑着我。今天终于解决了。在生成IP核时,会生成两个相应的文件夹XX_ip和XX_ip_sim,“XX”表示IP核的命名。在下面的文件夹中, 阅读全文

posted @ 2014-11-23 11:49 中国的孩子 阅读(1586) 评论(2) 推荐(0) 编辑

2014年10月24日 #

attribute

摘要: (verilog-2001) (*keep = 1*) wire my_reg; 最大扇出信号设置 (*maxfan = 20*)reg clk_en; 上电初始化 reg q = 1'b1; keep :确保组合逻辑不被优化 preserve:防止寄存器被优化掉。对于扇出较大的信号,可以同时定义两 阅读全文

posted @ 2014-10-24 19:08 中国的孩子 阅读(342) 评论(0) 推荐(0) 编辑

2014年10月22日 #

功率谱密度(PDS)的MATLAB分析

摘要: 功率谱密度(PSD),它定义了信号或者时间序列的功率如何随频率分布。这里功率可能是实际物理上的功率,或者更经常便于表示抽象的信号被定义为信号数值的平方,也就是当信号的负载为1欧姆(ohm)时的实际功率。维纳-辛钦定理:宽平稳随机过程的功率谱密度是其自相关函数的傅立叶变换。对于连续随机过程,其功率谱密... 阅读全文

posted @ 2014-10-22 19:19 中国的孩子 阅读(21513) 评论(0) 推荐(0) 编辑

2014年10月21日 #

Tcl写法

摘要: #===============================================================#Analysi&Synthesisexecute_module -tool map#===========================================... 阅读全文

posted @ 2014-10-21 18:43 中国的孩子 阅读(612) 评论(0) 推荐(0) 编辑

2014年10月20日 #

MATLAB中的快捷键

摘要: Ctrl + c中止程序的运行,鼠标要点到命令窗内。 阅读全文

posted @ 2014-10-20 18:35 中国的孩子 阅读(309) 评论(0) 推荐(0) 编辑

if_elseif

摘要: 用MATLAB写了个这样的程序if((0<pwr<=2))wf_temp1=round(temp_wf0/2^7);elseif((2<pwr<=4))wf_temp1=round(temp_wf0/2^8);elseif((4<pwr<=8))wf_temp1=round(temp_wf0/2^9... 阅读全文

posted @ 2014-10-20 18:33 中国的孩子 阅读(224) 评论(0) 推荐(0) 编辑

上一页 1 ··· 13 14 15 16 17 18 19 20 21 ··· 23 下一页