FPGA Player

好记性不如烂笔头

导航

上一页 1 ··· 10 11 12 13 14 15 16 17 18 ··· 23 下一页

2016年11月23日 #

ISE应用入门的一些问题

摘要: 1、怎样添加IP 2、怎样用modelsim调用IP生成的仿真文件。 今天用modelsim仿真ISE生成的DDR IP IP核的地址:E:\FPGA\MIS607\Mis607B\update\CH09_DDR_TEST\MiS607B_DDR3_Test\DDR3_Test\ipcore_dir 阅读全文

posted @ 2016-11-23 07:14 中国的孩子 阅读(347) 评论(0) 推荐(0) 编辑

2016年11月22日 #

DDR的型号问题

摘要: 一、DDR的容量大小 先看下micron公司对DDR3命名的规则: 1、meg的含义: 内存中Meg的含义:Meg就是兆的含义,即1000,000. MT47H64M16 – 8 Meg x 16 x 8 banks 的含义:表示方法是:每个逻辑BANK的单元格数×每个单元格的位数×逻辑BANK数量 阅读全文

posted @ 2016-11-22 11:15 中国的孩子 阅读(3245) 评论(0) 推荐(0) 编辑

PLL失锁

摘要: 2016-07-05 现象:在低温(-30度以下)下,射频锁定信号出现周(大约20ms)期性高低电平的变化,由于MCU检测一次需要的时间很长(大于500ms), 大概总是检测不到失锁状态,所以不会出现重锁而致使PLL一直处理失锁状态。但怪异的现象是即便手动把lock信号拉低也不会再次重锁。 必须是在 阅读全文

posted @ 2016-11-22 10:46 中国的孩子 阅读(1476) 评论(0) 推荐(0) 编辑

2016年11月17日 #

怎样从altera下载软件与器件库

摘要: 首先要注册一个帐号,否则是不能下载的。 step1:进入support->download 这是页面下方的显示,可以选择想要安装的Quartus版本以及该版本支持的器件。这里以16.0标准版为例,可以看到 标准版支持Strtix Arria Cyclone Max等系列。 step2: 进入以下页面 阅读全文

posted @ 2016-11-17 21:28 中国的孩子 阅读(2983) 评论(0) 推荐(0) 编辑

Quartus中添加时序约束

摘要: 1、sdc文件也是要添加到Quartus 软件中,这样在执行Read SDC File命令时才能读到相应的文件。 2、在TimeQuest打开的条件下,重新编译工程之后要Update Timing Netlist,这样TimeQuest分析器会得到最新的 网表文件进行时钟分析。 转载地址http:/ 阅读全文

posted @ 2016-11-17 16:40 中国的孩子 阅读(9624) 评论(0) 推荐(2) 编辑

Quartus 的管脚分配

摘要: 与管脚分配相关的一些功能在assignments菜单下, Remove assignments... Back-Annotate Assignment... Import Assignment... export Assignment... Tcl中管脚分配的方式 在Tcl中修改之后,需要重新Run 阅读全文

posted @ 2016-11-17 15:59 中国的孩子 阅读(1279) 评论(0) 推荐(0) 编辑

2016年11月15日 #

max10中对DDR数据的采样转换

摘要: (1)发现IP是这样处理DDR的数据:上升沿采的数据放在低位,下降沿采的数据在高位 (2)对于视频的行场信号是在下降沿采集,再延时一拍才能与数据对齐。 阅读全文

posted @ 2016-11-15 20:43 中国的孩子 阅读(425) 评论(0) 推荐(0) 编辑

2016年11月14日 #

Quartus调用modelsim

摘要: 1、Quartus 调用modelsim Test Bench Name :是test bench的文件名 Top Level module in test bench:test bench文件内的module名 2、Quartus 为什么每次调用modelsim都要重新编译一次 如果要仿真的文件不 阅读全文

posted @ 2016-11-14 19:53 中国的孩子 阅读(1445) 评论(1) 推荐(0) 编辑

2016年11月9日 #

quartus ii13.0~16.0 调用uedit (转载http://blog.sina.com.cn/s/blog_6d5560f00102vax6.html)

摘要: 转自 http://blog.sina.com.cn/s/blog_6d5560f00102vax6.html Quartus II 中的文本编辑软件不好用,比较习惯与UE(Uedit32/ultraedit)。但是Quartus中option中调用UE的命令行默认值是错误的。应该是 "D:\Pro 阅读全文

posted @ 2016-11-09 15:49 中国的孩子 阅读(442) 评论(0) 推荐(0) 编辑

2016年10月30日 #

FIFO跨时钟域读写

摘要: 今天面试,要走时问了我一个问题:如果两个时钟一个时钟慢一个时钟快,来读写FIFO,其中读出的数据是 连续的一段一段的。 图1 图1为写时序控制,可以看出数据是两个时钟周期的长度,当然实际中可以是任意周期的长度。 图2 图2为读时序,ren使能的长度也可以是任意,但是我以为一点是必须保证的,那就是读写 阅读全文

posted @ 2016-10-30 09:19 中国的孩子 阅读(1471) 评论(0) 推荐(0) 编辑

上一页 1 ··· 10 11 12 13 14 15 16 17 18 ··· 23 下一页