FPGA Player

好记性不如烂笔头

导航

2013年8月21日 #

VHDL的库

摘要: STD_LOGIC_ARITH扩展了UNSIGNED、SIGNED、SMALL_INT(短整型)三个数据类型,并定义了相关的算术运算和转换函数。--================================================library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity mux1 isport(sclk : in std_logic;rst_n: in std_logic;count: buffer std_logic_vector(4 downto 0));end m 阅读全文

posted @ 2013-08-21 19:41 中国的孩子 阅读(645) 评论(0) 推荐(0) 编辑