FPGA Player

好记性不如烂笔头

导航

2013年8月5日 #

三态门及数据缓冲器 双向口的用法

摘要: 1、三态门指逻辑门电路的输出不仅有高电平、低电平,还有高阻态它有一个使能控制端EN ,一个数据输入端DATAIN和一个数据输出端DATAOUT2、单总线缓冲器它通常由多个三态门组成,3、双向总线缓冲器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity bidr_io1 isport(mclk : in std_logic ;rst_n : in std_logic;mdata : inout std_logic_vector(15 downto 0);sdata1: inout std_log 阅读全文

posted @ 2013-08-05 20:28 中国的孩子 阅读(1770) 评论(0) 推荐(0) 编辑

siganl tappII的应用及MATLAB调用

摘要: 1、在应用SIGNAL TAPPII时一定要把不用的端口设置为输入三态,否则观察不到数据。 2、用SIGNAL TAPII 观察数据时双向是观察不到数据的,但模块内部用于传输双向口数据的寄存器可以看到数据。 在一个.stp文件中可以创建多个实例。不同的实例可以同时运行,可以使用不同的时钟域。还可对修 阅读全文

posted @ 2013-08-05 20:21 中国的孩子 阅读(591) 评论(0) 推荐(1) 编辑

VHDL 中的数据转换函数

摘要: 2013年8月5日ieee.std_logic_arith.allSXT:是对std_logic_vector转换成std_logic_vector数据类型,并进行符号扩展。 <= SXT(slv_sig,integer);比如:10010 扩展两位的符号位变成 1110010,不会影响到数据的加减1110010= sxt(10010,2);EXT:把std_logic_vector转换成std_logic_vector数据类型并进行0位扩展。例如:DATA = “0100”DATAB <= EXT(DATA,2);则DATAB 为 "000100" 阅读全文

posted @ 2013-08-05 19:38 中国的孩子 阅读(1784) 评论(0) 推荐(0) 编辑