FPGA Player

好记性不如烂笔头

导航

2013年7月29日 #

库、程序包

摘要: STD_LOGIC_1164:在该程序包中定义了数据类型STD_logic或STD_LOGIC_VECTOR逻辑运算符AND、NAND、OR、NOR、NOT的重载函数及两个转换函数,用于BIT 和STD_LOIGC或BIT_VICTOR和STD_LOGIC_VECTOR的相互转换。std_logic... 阅读全文

posted @ 2013-07-29 20:28 中国的孩子 阅读(207) 评论(0) 推荐(0) 编辑

端口模式(IN,OUT,INOUT,BUFFER)

摘要: in:OUT:INOUT:BUFFER:缓冲模式,与OUT类似可作为输出使用,但也可把输出的信号作为输入使用。 阅读全文

posted @ 2013-07-29 20:16 中国的孩子 阅读(2353) 评论(0) 推荐(0) 编辑