FPGA Player

好记性不如烂笔头

导航

2013年7月28日 #

VHDL实例化过程

摘要: 第二步:建立一个名为MUX_0的乘法器第三步:在程序中例化,看以下程序。--该程序用来实现复数的乘法,端口分别定义的复数的--输入的实部和虚部和输出的实部和虚部LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;LIBRARYLPM;USELPM.LPM_COMPONENTS.ALL;ENTITYplural_muxISPORT(r_in:INSTD_LOGIC_VECTOR(7DOWNTO0);i_in:INSTD_LOGIC_VECTOR(7DOWNTO0);r_out:OUTSTD_LOGIC_VECTOR(15DOWNTO0);i_out:OUTSTD_LOG 阅读全文

posted @ 2013-07-28 11:04 中国的孩子 阅读(1655) 评论(0) 推荐(0) 编辑