FPGA Player

好记性不如烂笔头

导航

2012年8月16日 #

乘法器之六(硬件乘法器)

摘要: 16. 用DSP块或者逻辑资源实现乘法器Altera提供3种利用DSP块或者逻辑资源的QuartusII Megafunction来实现不同的乘法(multiply)、乘累加(multiply-accumulate)和乘加(multiply-add)函数。lpm_mult Megafunction只实现乘法功能。altmult_add Megafunction可以实现乘法或者乘加功能。altmult_accum Megafunction只能实现乘累加功能。7. 硬件乘法器StratixII、Stratix和StratixGX器件中的硬件乘法器由DSP块和逻辑资源联合实现,而CycloneII器 阅读全文

posted @ 2012-08-16 09:17 中国的孩子 阅读(9451) 评论(0) 推荐(1) 编辑