FPGA Player

好记性不如烂笔头

导航

2012年8月15日 #

算术运算符

摘要: VHDL算术运算符在VHDL中,算术运算符用来执行算术运算操作。操作数可以是INTEGER,SIGNED,UNSIGNED或REAL数据类型,其中REAL类型是不可综合的。如果声明了ieee库中的包集std_logic_signed和std_logic_unsigned,即可对STD_LOGIC_VECTOR类型的数据进行加法和减法运算。VHDL语言有以下算术运算符:+ 加- 减* 乘/ 除** 指数运算MOD 取模REM 取余ABS 取绝对值上述运算符中,加法、减法和乘法运算符是可以综合成逻辑电路的,对于除法运算,只有在除数为2的n次幕时才有可能进行综合,此时除法操作对应的是将被除数向右进行 阅读全文

posted @ 2012-08-15 19:58 中国的孩子 阅读(785) 评论(0) 推荐(0) 编辑

乘法器之五(混和式乘法器(Hybrid multiplication))

摘要: 4) 混和式乘法器(Hybrid multiplication)混合乘法器模式结合了半并行和乘加两种乘法器模式,它有不同的两个输入位流,并且乘以不同的系数。这种模式在象FFTs这样的有复数乘法应用中非常有用,复数信号通常包含实部和虚部两部份,所以乘法实现的时候可以分开乘以不同的系数值。每个部份产生的部份积被累加产生最终的结果。混合乘法器模式中,每个时钟周期里从两组输入数据中依次选取相同的位数拼接起来,送到到RAM块的地址端口,低位先送。比如RAM块的地址端口只有四位时,那么从每个输入数据中依次选取2位来计算,直到输入数据的所有位都被送入RAM块地址端口。这样,一个16位输入总线共需要八个时钟周 阅读全文

posted @ 2012-08-15 16:45 中国的孩子 阅读(1091) 评论(0) 推荐(0) 编辑

乘法器之四( 乘加器(Sum of multiplication))

摘要: 3) 乘加器(Sum of multiplication)乘加模式乘法器的运算结果就是一系列输入数据(乘数)乘以一系列被乘数所产生的部份积的和。这种乘加结构易于实现MAC(乘-累加)函数,乘累加运算在FIR滤波器等应用中非常常见。乘法器的每个输入数据(乘数)被乘到一个特定的系数(或者叫被乘数),然后把所有乘积项相加后得到最终的乘法结果。在乘加器模式下,每个输入总线每个时钟周期送一位到存储器的地址端口,低位先送。如果乘法器块有四个输入总线(分别是A、B、C和D),那么第一个时钟送入的四个输入的最低位形成一个4位的 RAM块地址。下一个时钟,送入四个输入总线的次低位形成RAM块的第二个4位地址值, 阅读全文

posted @ 2012-08-15 16:43 中国的孩子 阅读(3725) 评论(0) 推荐(0) 编辑