FPGA Player

好记性不如烂笔头

导航

2012年8月13日 #

乘法器之二(并行乘法器)

摘要: 5.用存储器块实现软乘法器可以用StratixII、Stratix和StratixGX M512或者M4K 和CycloneII和Cyclone的M4K RAM存储器块作为LUTs实现DSP应用中的乘法器。所有系数的组合会预先算好并保存在M512或者M4K中。RAM块的地址对应乘法器的一个操作数,每个地址内存放了一个唯一的计算结果,这个结果是基于要实现的乘法器的类型由输入操作数和一个已知的参数计算得到的。StratixII、Stratix、StratixGX、CycloneII和Cyclone器件支持的5种软乘法器的类型是:(1)并行乘法器(Parallel multiplication)-每 阅读全文

posted @ 2012-08-13 20:21 中国的孩子 阅读(4880) 评论(1) 推荐(1) 编辑

阵列乘法器

摘要: 希望大家看看,给指点一下,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ZL_multiplier isport(clk : in std_logic;reset : in std_logic;mul1 : in std_logic_vector(3 downto 0);mul2 : in std_logic_vector(3 downto 0);product : out std_logic_vector(7 downto 0));end ZL_multiplier;a 阅读全文

posted @ 2012-08-13 18:08 中国的孩子 阅读(915) 评论(0) 推荐(0) 编辑

四分之一平方乘法器(全变量乘法器)

摘要: 下面是对ALTERA关于乘法器的资料中对全变量乘法器的翻译:英文水平有限,还请多指教,希望大家多留言,我们可以讨论一下:全变量乘法器 全变量乘法器是输出和系数在第个时钟都可变的乘法器,全变量乘法器的分部结果存放在RAM块中,设计是基于代数表(a + b)2-(a - b)2 = 4ab;所以 a*... 阅读全文

posted @ 2012-08-13 09:27 中国的孩子 阅读(1035) 评论(0) 推荐(0) 编辑