FPGA Player

好记性不如烂笔头

导航

2012年8月12日 #

MATLAB中的运算符和特殊字符说明

摘要: 符号 符号用途说明 + 加 - 减 .* 点乘 详细说明help arith * 矩阵相乘 ^ 矩阵求幂 .^ 点幂 \ 左除 详细说明help slash / 右除 .\ 点左除 ./ 点右除 kron 张量积 详细说明help kron , 作分隔用,如把矩阵元素、向量参数、函数参数、几个表达式分隔开来 ; (a)写在一个表达式后面时,运算后命令窗口中不显示表达式的计算结果 (b)在创建矩阵的语句中指示一行元素的结束,例如m=[x y z;i j k] : (a)创建向量的表达式分隔符,如x=a:b:c (b)a(:,j)表示j列的所有行元素;a(i,:)表示i行的所有列元素; a(1.. 阅读全文

posted @ 2012-08-12 20:01 中国的孩子 阅读(1220) 评论(0) 推荐(0) 编辑

MATLAB的取整函数:fix(x),floor(x),ceil(x),round(x)

摘要: (1)fix(x):截尾取整>>fix([3.12-3.12])ans=3-3(2)floor(x):不超过x的最大整数.(高斯取整)>>floor([3.12-3.12])ans=3-4(3)ceil(x):大于x的最小整数>>ceil([3.12-3.12])ans=4-3(4)四舍五入取整>>... 阅读全文

posted @ 2012-08-12 19:53 中国的孩子 阅读(270) 评论(0) 推荐(0) 编辑

模块调用时对参数值的更改

摘要: 当某个模块被另一个模块调用后,调用模块可以对被调用模块内的参数值进行更改由此可以通过 更改参数值来对被调用模块实现的功能进行控制。比如说我们可以设计一个加法器模块,该加法 器的位数由模块内的参数指定。这样,在对这个加法器模块进行调用时,我们只需要在调用时进行 一下参数更改就可以交同一加法器模块作为8位、16位、32位等任意位的加法器。 对参数值更改的两种方式: 1、使用带有参数值的模块实例语句; 格式如下: #(,,。。。) 如果只有一个参数,括号可省 “#” 例: module multibits_multiplier(... 阅读全文

posted @ 2012-08-12 08:37 中国的孩子 阅读(380) 评论(0) 推荐(0) 编辑