FPGA Player

好记性不如烂笔头

导航

IP之NCO仿真

NCO仿真要用.vo仿真模型,不能用.v文件

/***********************************************************************************/

/*

/************************************************************************************/

NCO仿真


网上说NCO的仿真只能用.vo而不能用.v文件。然后再加上库lpm,mf,sgate即可,其实这在生成nco的ip核时,会生成相应的一个Tcl文件,里面有要用到的库和文件。但是真的不能用.v文件吗?
注意:生成Nco时一定要在step2中勾上Generate Simulation Model才会生成.vo文件。

 其实根本上还是要了解一些TCL语言来解决这些问题的。

关于NCO的仿真,也是很长时间搞不定,于是把modelsim的工程建在了simulation/modelsim下,在建立modelsim工程时添加.vo文件,调用自动生成的测试文件或自己写的都是可以的。如果不手动添加库也不可用仿真,可能simulation/modelsim下有许多的库会自动添加进来,仿真过程出错时会报错,看看错的原因是什么再从库里面找到相应的模块,把该库添加进去就行。

双通道仿真结果

 

可以看到此时的phi_inc_i是在两个频率字之间来回跳动。就出现了上面的两个对应的正余弦。

问题1:freq_mod_i与phi_inc_i的数据交换后结果会有变化吗?

可以看到phi_inc_i的数据与freq_mod_i 的数据交换后,产生的波形是一样的。

关于NCO的双通道,其实是在奇偶两个时钟周期内,频率字交替给出后,在fcos_o和FSIN_O也交替输出两具波形。对于多通道,数据手册上有不同的波形生成。

 

 

posted on 2017-10-17 20:25  中国的孩子  阅读(1650)  评论(0编辑  收藏  举报