FPGA Player

好记性不如烂笔头

导航

timescale

  `timescale 1ns/100ps  
   表示时延单位为1ns, 时延精度为100ps。`timescale 编译器指令在模块说明外部出现, 并且影响后面所有的时延值。

 

posted on 2017-02-03 16:58  中国的孩子  阅读(576)  评论(0编辑  收藏  举报