2013年4月9日

sdram control ——本文为转载

摘要: sdram controller 在信息处理中,特别是实时视频图像处理中,通常都要对实现视频图像进行处理,而这首先必须设计大容量的存储器,同步动态随机存储器SDRAM虽然有价格低廉、容量大等优点,但因SDRAM的控制结构复杂,常用的方法是设计SDRAM通用控制器,这使得很多人不得不放弃使用SDRAM而使用价格昂贵的SRAM。为此,笔者在研究有关文献的基础上,根据具体情况提出一种独特的方法,实现了对SDRAM的控制,并通过利用FPGA控制数据存取的顺序来实现对数字视频图像的旋转,截取、平移等实时处理。SDRAM的控制原理,如图1所示。SDRAM控制原理图 hspace=0 src="h 阅读全文

posted @ 2013-04-09 11:32 love木木夕 阅读(386) 评论(0) 推荐(0) 编辑

SDRAM (转)

摘要: SDRAM即同步动态RAM:所有输入信号均在时钟上升沿被采样,需要动态刷新保持数据1、重要的连接信号:a、RAS:行地址锁存b、CAS:列地址锁存c、WE:写使能d、DQ0-DQ15:数据总线e、DQML、DQMH:数据I/O屏蔽,读模式下屏蔽输出缓冲,写模式下屏蔽输入缓冲,DQML控制DQ0-DQ7,DQMH控制DQ8—DQ15f、A10连接自动放电2、扩展方式:使用双片级联,将16位数据线扩展为32位,从而与32位CPU匹配,优化SDRAM性能。扩展后一个地址对应32位,从而一个地址单元以4Byte进行数据访问时,内存会忽略A0和A1,故SDRAM的A0接CPU数据线的A2。如果需要访问地 阅读全文

posted @ 2013-04-09 10:39 love木木夕 阅读(267) 评论(0) 推荐(0) 编辑

2013年4月8日

SDRAM芯片初始化、行有效、列读写时序(高手进阶,终极内存技术指南——完整/进阶版) ——本文为转载

摘要: 上文我们已经了解了SDRAM所用到的基本信号线路,下面就看看它们在SDRAM芯片内部是怎么“布置”的,并从这里开始深入了解内存的基本操作与过程,在这一节中我们将接触到有天书之称的时序图,但不要害怕,根据文中的指导慢慢理解,您肯定可以看懂它。首先,我们先认识一下SDRAM的内部结构,然后再开始具体的讲述。128Mbit(32M×4)SDRAM内部结构图(点击放大)芯片初始化可能很多人都想象不到,在SDRAM芯片内部还有一个逻辑控制单元,并且有一个模式寄存器为其提供控制参数。因此,每次开机时SDRAM都要先对这个控制逻辑核心进行初始化。有关预充电和刷新的含义在下文有讲述,关键的阶段就在于 阅读全文

posted @ 2013-04-08 22:33 love木木夕 阅读(439) 评论(0) 推荐(0) 编辑

FPGA的内部存储器简介及配置方法 ——本文为转载

摘要: 存储器是用于存储数据的,在FPGA中,我们可以自己来搭建存储模块,也可以选择一些带有内部存储块的FPGA。 以CYCLONEⅡ系列的FPGA来说明内部存储模块的使用情况,M4K的存储器可以配置成一下模式:单口模式、简单双口模式、完全双口模式、移位寄存器模式、只读存储器模式、FIFO模式。这些模式在用法上有各自的特点,正因为用法上的不同而使得端口配置有不同。简单介绍一下各自的用法特点吧。 单口模式:存储器不能同时进行读写操作。从端口的配置上来看,就是读数据的时候没有地址选择。 简单双口模式:支持同时对存储器进行读写操作,所以存储器有读使能信号,当读使能信号被置为无效的时候,输出的数据将保持不变。 阅读全文

posted @ 2013-04-08 21:49 love木木夕 阅读(1949) 评论(0) 推荐(0) 编辑

如何利用altera 给出的megafunction配置成自己想要的FIFO和RAM ——本文为转载

摘要: 在FPGA设计过程中,一般都要用到FIFO和RAM,如何利用altera 给出的megafunction配置成自己想要的FIFO和RAM,就得从自己的设计的RAM功能来看。主要考虑:端口数目、宽度和深度、时钟、时钟使能、读端口使能、输出端口是否寄存、复位(port、Widths and depths、Clks 、readen、Regs 、clkens、 Aclrs)。这里强调下:Asychronous Clear,只复位输入/输出端口的寄存器,不复位RAM块里的数据。对altera 的不同芯片,有的只复位输出寄存器,有的复位输入和输出寄存器。还要注意:Write and Read Opera. 阅读全文

posted @ 2013-04-08 17:09 love木木夕 阅读(658) 评论(0) 推荐(0) 编辑

导航