摘要: 转载:https://blog.csdn.net/bleauchat/article/details/85312172 锁存器锁存器(latch) 对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时 阅读全文
posted @ 2020-07-09 17:13 burlingame 阅读(1362) 评论(0) 推荐(0) 编辑
摘要: 转载:https://blog.csdn.net/lg2lh/article/details/39081061 一、锁存器 首先设计锁存器的时候应该清楚什么是锁存器,锁存器其实是对电平信号敏感的,一定信号是电平敏感的,和时钟边沿clk无关。 所以用verilog描述的时候,应该是: always @ 阅读全文
posted @ 2020-07-09 17:05 burlingame 阅读(647) 评论(0) 推荐(0) 编辑
摘要: 转载:https://www.cnblogs.com/IClearner/p/6443539.html 前面说了CMOS器件,现在就接着来聊聊锁存器跟触发器吧,下面是这次博文要介绍的主要内容: ·双稳态器件 ·锁存器常见结构 ·锁存器的应用 ·触发器 ·触发器的建立时间和保持时间 1、双稳态器件 双 阅读全文
posted @ 2020-07-09 16:56 burlingame 阅读(243) 评论(0) 推荐(0) 编辑