2009年11月19日

$random函数 【转载】

摘要: Verilog中关于系统任务$random“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:EX-1: reg[23:0] rand; rand=$random%60; //产生一个在 -59—59范围的随机数又给出了一个产生0~59之间的随机数的例子:EX-2: reg[23:0] rand; rand={$r... 阅读全文

posted @ 2009-11-19 10:43 Homography Matrix 阅读(557) 评论(2) 推荐(1) 编辑

导航