$random函数 【转载】

Verilog中关于系统任务$random

“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:
EX-1:
   reg[23:0] rand;
   rand=$random%60; //产生一个在 -59—59范围的随机数


又给出了一个产生0~59之间的随机数的例子:
EX-2:
   reg[23:0] rand;
   rand={$random} %60; //通过位拼接操作{}产生0—59范围的随机数

产生一个在min, max之间随机数的例子:
EX-3:
  reg[23:0] rand;
  rand = min+{$random}%(max-min+1);

posted on 2009-11-19 10:43  Homography Matrix  阅读(557)  评论(2编辑  收藏  举报

导航