【verilog】排序算法硬件实现(奇偶排序)

奇偶排序算法和求最大最小值

基本知识网上有很多讲解,便不再赘述
由于verilog不支持输入端口为二维数组,本例直接把数据以随机数的形式放进寄存器RAM中,方便再里面作比较。且尽量使用组合逻辑。

代码:https://download.csdn.net/download/weixin_42183170/12082900

仿真波形(看波形时从sort_inst中添加RAM1,RAM2进来)
在这里插入图片描述
在这里插入图片描述在这里插入图片描述

总结:
其实完全可以不用时钟,可以以数组的形式作为输入。可由于用到memory,也就在一拍做出结果。

posted @ 2020-01-06 10:36  晨青  阅读(1552)  评论(1编辑  收藏  举报