摘要: 【步骤1】在modelsim中输入文件内容如下module tryfact; function[31:0]factorial; //此处定义函数,[31:0]是返回值的类型或范围,factorial是函数名 input[3:0]operand; //端口说明语句 reg... 阅读全文
posted @ 2014-05-24 21:26 xiujun7 阅读(893) 评论(0) 推荐(0) 编辑