摘要: 上一篇博客主要写了SVA的基本语法(详细),这一篇主要写SVA语法总结,以及如何查看SVA波形等。 断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的语法: 1. 断 阅读全文
posted @ 2019-10-21 11:37 Zhangxianhe 阅读(3508) 评论(0) 推荐(0) 编辑
摘要: 暑期实习两个月的其中一个任务是:如何在设计中加入断言?以及断言的基本语法、三种应用场景下的断言(如FIFO、FSM、AXI4-lite总线)。参考书籍:《System Verilog Assertion 应用指南》 一、SVA介绍 1.1断言的定义 An assertion is a stateme 阅读全文
posted @ 2019-10-21 11:16 Zhangxianhe 阅读(10164) 评论(0) 推荐(3) 编辑