摘要: 1:程序计数器 程序计数器(Program Counter Register)是一块较小的内存空间,它可以看作是当前线程所执行的字节码的行号指示器。在虚拟机概念模型里(概念模型,各种虚拟机可能会通过一些更高效的方式实现),字节码解释器工作时就是通过改变这个计数器的值来选取下一条需要执行的字节码指令: 阅读全文
posted @ 2022-01-22 18:30 清水煮岁月 阅读(170) 评论(0) 推荐(0) 编辑