摘要: 7.1什么是STA环境 表现为时序约束 7.2时钟定义 典型: 7.2.1clock uncertainty 约束: 建立时钟不确定性缩短了有效时钟周期,保持时间不确定性增加了require time,就是说需要保持的时间更长了 还有在时钟边界上的时钟不确定性 讲的不是很细 没图 7.2.2cloc 阅读全文
posted @ 2020-06-08 11:58 倒头呼呼 阅读(394) 评论(0) 推荐(0) 编辑