摘要: 新版本Mathtype在MS Word 2007不能直接调用。今天发现,可以直接从Windows程序菜单中启动。在独立的窗口下编辑,编辑后粘贴到Word或Tex中。 早年使用Word时,嫌Mathtype挤占Word的菜单栏。如今早不到菜单栏,反而一度怅惘。其实,Mathtype是回到通常程序的状态 阅读全文
posted @ 2018-03-08 17:39 strchn 阅读(133) 评论(0) 推荐(0) 编辑
摘要: 在Matlab中画图时,经常会使用plot函数命令。一些隐含的画图命令也默认调用plot函数命令,如spy命令,可以画出矩阵的稀疏度图形。 另外,有时为了方便,会将一些处理图形的操作和命令列在一块,命名为单独的文件。这时,要注意用于画图定义的文件不能是plot.m. 在Matlab中plot.m默认 阅读全文
posted @ 2018-02-13 08:38 strchn 阅读(363) 评论(0) 推荐(0) 编辑
摘要: 在Modelsim的波形中查看值时,可以利用右键选择变量的数据类型。如果变量值为0,可以选择unsigned类型观察,可以1位显示0. 长度较大的数据以十六进制显示时,即使值为0,也依然显示为长度较长的数据。 阅读全文
posted @ 2018-02-11 11:15 strchn 阅读(677) 评论(0) 推荐(0) 编辑
摘要: 在Xilinx ISE中生成ROM时,需要指定.coe文件。需要做到两件事。其一,要使用memory_initialization_radix= ; memory_initializatoin_vector= ; 其二,在修改.coe文件之后,必须重新上传,在上传时需要重新选择。否则,即使.coe文 阅读全文
posted @ 2018-01-28 12:23 strchn 阅读(1488) 评论(0) 推荐(0) 编辑
摘要: 在Xilinx ISE中生成ROM后,如果修改参数,可能会出现错误: “The Memory Initialization vector can contain between 1 to Write” 可以先删除该ROM,然后重新生成。 阅读全文
posted @ 2018-01-17 16:00 strchn 阅读(1287) 评论(0) 推荐(0) 编辑
摘要: 在Matlab中,矩阵默认的数据类型是double, 并不是integer. 而且奇怪的是,矩阵乘法默认按照浮点数类型进行, 整数矩阵相乘会报错。另外,可以用a= int16(A)这种形式实现数据类型转换。此外,还存在cell这种数据结构,需要 使用结构指向符、即点号 . 进行引用。 阅读全文
posted @ 2017-12-25 16:03 strchn 阅读(369) 评论(0) 推荐(0) 编辑
摘要: 有时终止Matlab程序后,其内部指令会执行异常,出现不识别命令函数的情形。我遇到过执行sum命令出错的问题。退出程序,重启后正常。 阅读全文
posted @ 2017-12-19 16:45 strchn 阅读(333) 评论(0) 推荐(0) 编辑
摘要: 据人示例,计算机后台操作可能是病毒的一个来源,部分后台操作或由快捷键和键盘实时完成。 阅读全文
posted @ 2017-12-18 15:14 strchn 阅读(231) 评论(0) 推荐(0) 编辑
摘要: 在纠错码中,Syndrome值有时被翻译成“校正子”、“伴随式”,我觉得“校正子”不如翻译成“校正值”。 阅读全文
posted @ 2017-11-08 17:00 strchn 阅读(353) 评论(0) 推荐(0) 编辑
摘要: 在Tex live和Beamer环境下编译中文时,容易出现缺少$的提示错误。它有可能是由于特殊字符所致,如下划线; 也有可能是插图有误所致。 阅读全文
posted @ 2017-11-08 11:14 strchn 阅读(670) 评论(0) 推荐(0) 编辑