2014年5月8日

FPGA之阻塞赋值与非阻塞赋值

摘要: Verilog语言中讲的阻塞赋值与非阻塞赋值,但从字面意思来看,阻塞就是执行的时候在某个地方卡住了,等这个操作执行完在继续执行下面的语句,而非阻塞就是不管执行完没有,我不管执行的结果是什么,反正我继续下面的事情。而Verilog中的阻塞赋值与非阻塞赋值正好也是这个意思,通过执行一个例子,就可以简单地... 阅读全文

posted @ 2014-05-08 22:02 yvictoryr 阅读(1716) 评论(0) 推荐(0) 编辑

导航