摘要: 移位寄存器实现Verilog代码: `timescale 1ns / 1ps module add( input clk, input reset, input [1:0] s, input dl, input dr, input [3:0] d, output reg [3:0] q ); alw 阅读全文
posted @ 2019-11-27 04:28 Yu_tiann 阅读(388) 评论(0) 推荐(0) 编辑