片上系统SoC

片上系统设计方法研究和应用现状

摘要 片上系统((SoC)是当今微电子技术的发展方向,本文介绍SoC技术的基本概念,SoC主要研究内容以及SoC的设计技术,最后简单介绍了SoC的应用及发展趋势。

关键字 片上系统 SoC设计方法 体系结构

 

Abstract This paper deals with the essential concept of the SoC technology, the main research point of SoC, the design technology of SoC, and as well as application and development tendency of SoC.

Key words  SoC, Design Method of SoC,

1 SoC简介

SoC的定义多种多样,由于其内涵丰富、应用范围广。一般说来,SoC称为片上系统,意指它是一个产品,是一个有专用目标的集成电路,其中包含完整系统并有嵌入软件的全部内容。同时它又是一种技术,用以实现从确定系统功能开始,到软/硬件划分,并完成设计的整个过程。从狭义角度讲,它是信息系统核心的芯片集成,是将系统关键部件集成在一块芯片上;从广义角度讲,SoC是一个微小型系统,如果说中央处理器(CPU)是大脑,那么SoC就是包括大脑、心脏、眼睛和手的系统。国内外学术界一般倾向将SoC定义为将微处理器、模拟IP核、数字IP核和存储器(或片外存储控制接口)集成在单一芯片上,它通常是客户定制的,或是面向特定用途的标准产品。

2 SoC主要研究内容

尽管SoC 沿CSoCSoPCASIC SoC 这三个方向发展,但最具挑战性的还是ASIC SoC 研究领域。SoC 设计方法学主要研究总线架构技术、IP 核可复用技术、可靠性设计技术、软硬件协同设计技术、SoC 设计验证技术、芯片综合/时序分析技术、可测性/可调试性设计技术、低功耗设计技术、新型电路实现技术等,此外还要做操作系统/嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。

2.1 总线架构技术

总线结构及互连技术,直接影响芯片总体性能发挥。对于单一应用领域,可选用成熟的总线架构;对于系列化或综合性能要求很高的,可进行深入的体系结构研究,构建各具特色的总线架构,做精做强,不受制于第三方,与系统同步发展,更具竞争力。目前SoC 开发研制主要有基于平台(包括自主构建总体架构)、基于核、基于合成等方法,不断推出性能更好、扩展性更强的总线规范,如AXI 总线(AMBA 总线升级)、L*BUS 总线(中科院计算所)

等。

2.2 IP 核可复用技术

IP 核一般分为硬核、软核和固核三种,硬核是指经过预先布局且不能由系统设计者修改的IP 核,软核通常以HDL语言形式提交,固核由RTL 的描述和可综合的网表组成。IP 核可复用的研究重点是开发适应多种总线接口的规范和可测试性一体化,以尽量少的外包和测试向量,达到复用目的。IP 核应有良好的开发文档和参考手册,包括数据手册、用户使用指南、仿真和重用模型等,而兼容性是重要的因素。

2.3 可靠性设计技术

由于SoC 由多级总线组成,每一总线上含有多个设备(IP 核),如何确保整个芯片能正常运转十分重要,必须考虑防“死锁”机制和“解锁”机制,即使某一设备(IP 核)瘫痪了,不致影响整个芯片其他功能发挥。此外随着超深亚微米技术发展,对总线传输的可靠性提出了严重挑战,必须研究容错机制和故障恢复机制。

2.4 软硬件协同设计技术

由于市场和设计风险的压力,SoC 软硬件协同设计尤为重要。改进软硬件协同说明、协同分析、协同设计、协同模拟和协同验证,可大大减少硬件设计风险和缩短嵌入式软件的开发调试时间。同时在协同验证环境中能够及时发现软硬件中所存在的致命问题,避免在最后集成测试阶段重新进行软硬件的调整。

2.5 芯片综合/时序分析技术

由于SoC 系统复杂度和规模愈来愈大,像多时钟、多电压以及超深亚微米等新课题不断出现,对SoC 的综合性研究提出了更高的要求。尤其对时序预算如何分级、分解,关键路径的特殊约束的研究,要求研究人员具有深厚的系统背景知识。与此同时,静态时序分析(STA )日趋复杂、后端动态仿真效率低下,对总体设计人员提出了严峻的挑战。

2.6 SoC 验证技术

主要分IP 核验证、IP 核与总线接口兼容性验证和系统级验证等三个层次,包括设计概念验证、设计实现验证、设计性能验证、故障模拟、芯片测试等;从验证类型分,有兼容性测试、边角测试、随机测试、真实码测试、回归(Regression)测试和断验证等。由于芯片愈来愈复杂,软件仿真开销大,硬件仿真验证成为一种重要的验证手段。验证工作约占整个设计工作的70%,如何提高验证覆盖率和验证效率是设计验证的永恒话题。

2.7 可测性/可调试性设计技术

主要研究解决批生产可测性问题和在线可调试性问题,实施技术包括DFT SCANBIST Iddq JTAG /eJTAG ,要研究基于各种IP 核的SoC 测试架构和测试向量有效传递性,更重要的是要考虑测试平行化,降低芯片测试占用时间,此外要关注在线调试工作,方便用户开发和调试基于SoC 的产品。

2.8 低功耗设计技术

低功耗已经成为与面积和性能同等重要的设计目标,因此精确评估功耗也成为重要问题。芯片功耗主要由跳变功耗、短路功耗和泄漏功耗组成。降低功耗要从SoC 多层次立体角度研究电路实现工艺、输入向量控制(IVC )技术、多电压技术、功耗管理技术以及软件(算法)低功耗利用技术等多方面综合解决问题。

2.9 新型电路实现技术

出不穷,半导体工艺特征尺寸向深亚微米发展,要求SoC 设计师不断研究新工艺、新工具,研究关键电路架构、时序收敛性、信号完整性、天线效应等问题。

2.10 嵌入式软件移植/开发

主要研究开发SoC BIOS 和嵌入式操作系统移植/开发,要支持多任务,要使程序开发变得更加容易,系统的稳定性、可靠性得到更好提高,要便于维护,易读易懂,要具有安全性好、健壮性强、代码执行效率高等特点。如对SoC 片内进行嵌入式Linux 操作系统代码的植入研究,可减轻系统开发者基于BSP 开发的难度,同时提高开发效率,缩短开发周期。

 

3 SoC基本设计方法

  SoC定义的基本内容主要表现在两方面:其一是它的构成,其二是它形成过程。片上系统的构成可以是片上系统控制逻辑模块、微处理器/微控制器CPU 内核模块、数字信号处理器DSP模块、嵌入的存储器模块、和外部进行通讯的接口模块、含有ADC /DAC 的模拟前端模块、电源提供和功耗管理模块,对于一个无线SoC还有射频前端模块、用户定义逻辑(它可以由FPGA ASIC实现)以及微电子机械模块,更重要的是一个SoC 芯片内嵌有基本软件(RDOSCOS以及其他应用软件)模块或可载入的用户软件等。片上系统形成或产生过程包含以下三个方面:

  1) 基于单片集成系统的软硬件协同设计和验证;

  2) 再利用逻辑面积技术使用和产能占有比例有效提高即开发和研究IP核生成及复用技术,特别是大容量的存储模块嵌入的重复应用等;

3) 超深亚微米(UDSM) 、纳米集成电路的设计理论和技术。

SoC 技术设计系统芯片,一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:

1) 功能设计阶段。设计人员产品的应用场合,设定一些诸如功能、操作速度、接口规格、环境温度及消耗功率等规格,以做为将来电路设计时的依据。更可进一步规划软件模块及硬件模块该如何划分,哪些功能该整合于SOC 内,哪些功能可以设计在电路板上。

2) 设计描述和行为级验证。设计完成后,可以依据功能将SOC 划分为若干功能模块,并决定实现这些功能将要使用的IP 核。此阶段将接影响了SOC 内部的架构及各模块间互动的讯号,及未来产品的可靠性。决定模块之后,可以用VHDL Verilog 等硬件描述语言实现各模块的设计。接着,利用VHDL Verilog 的电路仿真器,对设计进行功能验证(functionsimulation,或行为验证 behavioral simulation)。注意,这种功能仿真没有考虑电路实际的延迟,但无法获得精确的结果。

3) 逻辑综合。确定设计描述正确后,可以使用逻辑综合工具(synthesizer)进行综合。综合过程中,需要选择适当的逻辑器件库(logic cell library),作为合成逻辑电路时的参考依据。硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要因素。事实上,综合工具支持的HDL 语法均是有限的,一些过于抽象的语法只适于做为系统评估时的仿真模型,而不能被综合工具接受。逻辑综合得到门级网表。

4) 门级验证(Gate-Level Netlist Verification)。门级功能验证是寄存器传输级验证。主要的工作是要确认经综合后的电路是否符合功能需求,该工作一般利用门电路级验证工具完成。注意,此阶段仿真需要考虑门电路的延迟。

5) 布局和布线。布局指将设计好的功能模块合理地安排在芯片上,规划好它们的位置。布线则指完成各模块之间互连的连线。注意,各模块之间的连线通常比较长,因此,产生的延迟会严重影响SOC的性能,尤其在0.25 微米制程以上,这种现象更为显著。

 

4 SoC的应用及发展

从应用的角度划分SoC 有三种类型,专用集成电路ASICApplication Specific IC)型SoC、可编程SoCSystem on Programmable ChipSoPC)和OEMSoC。由于SoPC 功能强大、设计电子产品灵活、应用领域宽广,被认为是半导体产业的未来。

SoC的发展重点主要包括:总线结构及互连技术,直接影响芯片总体性能发挥;软、硬件的协同设计技术,主要解决硬件开发和软件开发同步进行问题;IP可重用技术,如何对其进行测试和验证;低功耗设计技术,主要研究多电压技术、功耗管理技术以及软件低功耗利用技术等;可测性设计方法学,研究eJTAG设计技术、批量生产测试问题;超深亚微米实现技术,研究时序收敛、信号完整性、天线效应等。SoC引领嵌入式处理器的发展。

SoC的发展趋势及存在问题:当前芯片设计业正面临着一系列的挑战,系统芯片SoC已经成为IC设计业界的焦点,SoC性能越来越强,规模越来越大。SoC芯片的规模一般远大于普通的ASIC,同时由于深亚微米工艺带来的设计困难等,使得SoC设计的复杂度大大提高。在SoC设计中,仿真与验证是SoC设计流程中最复杂、最耗时的环节,约占整个芯片开发周期的50%80%,采用先进的设计与仿真验证方法成为SoC设计成功的关键。SoC技术的发展趋势是基于SoC开发平台,基于平台的设计是一种可以达到最大程度系统重用的面向集成的设计方法,分享IP核开发与系统集成成果,不断重整价值链,在关注面积、延迟、功耗的基础上,向成品率、可靠性、EMI 噪声、成本、易用性等转移,使系统级集成能力快速发展。

 

5 结束语

面对集成电路向SoC 的转型,我国实现集成电路设计业跨越式发展的一个历史机遇正在来临。我国应优先发展芯片设计业。应该加强吸收与培养各相关领域,如光、机、电等学科的人才,不断改善SoC 研究队伍组织结构,加强跨学科的SoC 综合技术研讨,积极沟通观念、信息与技术,通过跨学科的相互交融,从战略高度培养SoC 复合型人才。有国内大市场作为依托,只要整合好资源优势,我国芯片产业的发展水平不仅可向世界看齐,而且有可能引领高端芯片设计的发展方向。SoC 必将与MEMSSiP 相融合,在很多应用领域将取代微机,也必将导致又一次以系统集成芯片为特色的信息技术革命,21 世纪初期将是SoC 技术真正快速发展的时期。

 

参考文献

[1] 张志敏,SoC 与计算机学科发展,《高技术通讯》,2004.增刊.

[2] 于宗光. SOC设计值得注意的几个方面[J]. 世界产品与技术, 2003,(10).

[3] 赵定远. SoC与嵌入式系统[J]. 成都大学学报(自然科学版), 2007,(04).

[4] 吴洪江,郑滨. SOC的现状与发展[J]. 半导体情报, 2001,(04).

[5] 张纯蓓. IP、SOC设计技术(二)[J]. 电子产品世界, 1999,(12).

[6] 于宗光. 开发SOC面临的问题及发展展望[J]. 微电子技术, 2001,(01).

[7] 魏少军. 未来SoC技术发展的几个特点[J]. 电子产品世界, 2008,(10).

[8] 于宗光. SOC设计值得注意的几个方面[J]. 世界产品与技术, 2003,(10).

[9] 汪健. SoC设计的关键技术[J]. 集成电路通讯, 2006,(01).

 

 

SoC小作业,全是抄的,偶题目意思都不懂,也不知道要做些什么!

SoC小作业

习题1:
描述多数逻辑电路行为。输入N(N≥12)位向量。如果其中1的数量超过0的数量,输出设置为1。当Data_Ready信号为1时,才对输入数据进行检查。

 

习题2:
利用设计完成的MUX4×1多路选择器模块,编写16×1多路选择器程序。

 

习题3:
设计一个自动投币饮料机,机器销售2.5元一听的饮料,且只接受1元和5角的硬币。

 

习题4:
编写通用队列模型,队列中的字长为N,字数为M。InputData是被写入队列的字;当AddWord为1时,向队列中添加字。从队列中读出的字存储在OutputData中;当ReadWord为1时,从队列中读取字。设置相应的标志Empty和Full。所有的事务发生在时钟Clock的下降沿。

 

作业5:
修改键盘扫描器电路的ASM图,增加一个保持状态,要求在按键被解释为一个有效按键之前,该键应该保持25个时钟周期。
编写并验证已修改设计的Verilog模型。

 

 

 

posted @ 2013-04-19 14:06  ydzhang  阅读(1661)  评论(1编辑  收藏  举报