2011年8月1日

1组合逻辑电路--算术运算电路

摘要: 1.4.1 +、-、*、/、%电路(1)加法电路:每1位大约消耗1个LE,示例代码如下View Code 1 module arithmetic 2 ( 3 input [7:0] iA, 4 input [7:0] iB, 5 output [8:0] oAdd 6 ); 7 8 assign oAdd=iA+iB; 9 10 endmodule RTL级视图如下testbench如下View Code 1 `timescale 1ns/1ns 2 module arithmetic_tb; 3 4 reg [7:0] ia=8'b1011_0111; 5 reg [7:0] ib= 阅读全文

posted @ 2011-08-01 18:24 万好好 阅读(943) 评论(0) 推荐(0) 编辑

1组合逻辑电路--编码器和译码器

摘要: 1.3.1 编码器4输入2输出编码器代码如下View Code 1 module encoder 2 ( 3 input [3:0] iA, 4 output reg [1:0] oQ 5 ); 6 7 always @(*) 8 begin 9 oQ=2'b00;10 case(iA)11 4'b0001:oQ=2'b00;12 4'b0010:oQ=2'b01;13 4'b0100:oQ=2'b10;14 4'b1000:oQ=2'b11;15 default :;16 endcase 17 end18 19 endm 阅读全文

posted @ 2011-08-01 16:18 万好好 阅读(995) 评论(0) 推荐(0) 编辑

1组合逻辑电路--多路选择器与多路分解器

摘要: 1.2多路选择器1.2.1不带优先级的多路选择器四路选择器如下代码如下View Code 1 module multiplexer 2 ( 3 input iA, 4 input iB, 5 input iC, 6 input iD, 7 input [1:0] iSel, 8 output reg oQ 9 );10 11 always @(*)12 begin13 case(iSel)14 2'b00 : oQ=iA;15 2'b01 : oQ=iB;16 2'b10 : oQ=iC;17 2'b11 : oQ=iD;18 endcase 19 end20 阅读全文

posted @ 2011-08-01 15:25 万好好 阅读(992) 评论(0) 推荐(0) 编辑

导航