上一页 1 2 3 4 5 6 7 8 9 10 ··· 19 下一页

2020年2月26日

UVM Primer - SystemVerilog interfaces 和 BFM

摘要: tinyalu_pkg.sv package tinyalu_pkg; typedef enum bit[2:0] {no_op = 3'b000, add_op = 3'b001, and_op = 3'b010, xor_op = 3'b011, mul_op = 3'b100, rst_op 阅读全文

posted @ 2020-02-26 17:37 yiyedada 阅读(809) 评论(0) 推荐(0) 编辑

UVM Primer - 常规验证平台

摘要: 所有的行为都混在一个文件中 module top; typedef enum bit[2:0] {no_op = 3'b000, add_op = 3'b001, and_op = 3'b010, xor_op = 3'b011, mul_op = 3'b100, rst_op = 3'b111} 阅读全文

posted @ 2020-02-26 17:04 yiyedada 阅读(422) 评论(0) 推荐(0) 编辑

UVM Primer - UVM基础 - Factory模式

摘要: 在Factory模式中,我们希望传递一个参数到方法里然后就得到了我们指定的对象。 virtual class animal; protected int age=-1; protected string name; function new(int a, string n); age = a; na 阅读全文

posted @ 2020-02-26 16:38 yiyedada 阅读(207) 评论(0) 推荐(0) 编辑

UVM Primer - OOP基础 - 类的参数话定义

摘要: virtual class animal; protected int age=-1; protected string name; function new(int a, string n); age = a; name = n; endfunction : new function int ge 阅读全文

posted @ 2020-02-26 15:57 yiyedada 阅读(346) 评论(0) 推荐(0) 编辑

UVM Primer - OOP基础 - 静态变量和方法

摘要: 静态变量 virtual class animal; protected int age=-1; function new(int age); set_age(age); endfunction : new function void set_age(int a); age = a; endfunc 阅读全文

posted @ 2020-02-26 14:10 yiyedada 阅读(321) 评论(0) 推荐(0) 编辑

UVM Primer - OOP基础 - 多态

摘要: Not virtual class animal; int age=-1; function new(int a); age = a; endfunction : new function void make_sound(); $fatal(1, "Generic animals don't hav 阅读全文

posted @ 2020-02-26 10:31 yiyedada 阅读(592) 评论(0) 推荐(0) 编辑

UVM Primer - OOP基础 - 继承类

摘要: class rectangle; int length; int width; function new(int l, int w); length = l; width = w; endfunction function int area(); return length * width; end 阅读全文

posted @ 2020-02-26 10:30 yiyedada 阅读(193) 评论(0) 推荐(0) 编辑

UVM Primer - OOP基础 - 定义类

摘要: 1 class rectangle; 2 int length; //类的数据成员 3 int width; 4 5 function new(int l, int w); //类的构造函数 6 length = l; 7 width = w; 8 endfunction 9 10 function 阅读全文

posted @ 2020-02-26 10:14 yiyedada 阅读(215) 评论(0) 推荐(0) 编辑

2020年2月11日

sv testbench student guide1

该文被密码保护。 阅读全文

posted @ 2020-02-11 17:12 yiyedada 阅读(0) 评论(0) 推荐(0) 编辑

2020年2月8日

cpu综合的violation记录

该文被密码保护。 阅读全文

posted @ 2020-02-08 09:53 yiyedada 阅读(0) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 7 8 9 10 ··· 19 下一页

导航