异步复位同步释放

参考

http://www.cnblogs.com/qiweiwang/archive/2010/11/25/1887888.html

http://blog.chinaaet.com/stephenkung/p/34054

异步复位同步释放代码

module rst(
input clk,
input rst_n,
output reg rst_out
);

reg rst_R;

always@(posedge clk, negedge rst_n)
begin
    if(!rst_n)
        begin
            rst_R <= 1'b0;
            rst_out <= 1'b0;
        end
    else
        begin
            rst_R <= 1'b1;
            rst_out <= rst_r;
        end
end
endmodule

综合出的电路:

 

posted on 2016-10-07 18:08  yiyedada  阅读(141)  评论(0编辑  收藏  举报

导航