摘要: 参考这个网址 https://www.chisel-lang.org/chisel3/docs/explanations/blackboxes.html 看 Blackboxes with In-line Verilog 那行 阅读全文
posted @ 2023-01-08 00:57 yinhuachen 阅读(41) 评论(0) 推荐(0) 编辑