摘要: 1. 第一个模块 1 // Chisel Code: Declare a new module definition 2 class Passthrough extends Module { 3 val io = IO(new Bundle { 4 val in = Input(UInt(4.W)) 阅读全文
posted @ 2022-04-22 12:29 yinhuachen 阅读(90) 评论(0) 推荐(0) 编辑
摘要: 安装sbt的方法 https://blog.csdn.net/I_LYF7/article/details/121526201 一、scala代码基本语法和概念 1. var : 声明变量 val : 声明常量 var numberOfKittens = 6 // Int 类型val kittens 阅读全文
posted @ 2022-04-22 12:21 yinhuachen 阅读(105) 评论(0) 推荐(0) 编辑