03 2025 档案
摘要:.gitignore 文件放置 .gitignore 文件主要有以下几种放置位置,不同位置的作用范围有所不同: 项目根目录:这是最常见的放置位置。当 .gitignore 文件位于项目根目录时,它的规则会对整个项目起作用,能够控制项目内所有子目录和文件是否被 Git 跟踪。例如,一个基于 Pytho
阅读全文
摘要:哈希的介绍 Git中的哈希是一个用于唯一标识仓库中各种对象(如提交、文件、目录结构等)的固定长度字符串。以下是关键点的详细说明: 哈希的生成 算法:Git默认使用SHA-1算法生成40位的十六进制哈希值(如e9d5b8a3...)。尽管SHA-1存在理论上的碰撞风险,但Git通过额外校验降低了实际风
阅读全文
摘要:git工作区与指令 workspace:工作区 staging area:暂存区/缓存区 local repository:版本库或本地仓库 remote repository:远程仓库 git branch 分支的查看 git branch:查看本地分支; git branch -r:查看远端分支
阅读全文
摘要:下载 如果你想将远程仓库完整地复制到本地,可使用 git clone 命令。该命令会在本地创建一个与远程仓库相同的目录结构,并下载所有的文件和提交历史。 语法: git clone <远程仓库地址> [本地目录名] 远程仓库地址:这是远程仓库的 URL,可以是基于 HTTP/HTTPS 协议的地址,
阅读全文
摘要:目录 本文包含的场景来自于实战,包含以下内容: 场景1:远端仓库新建一个分支,如何下载到本地 场景2:误删除一个文件,如何恢复 场景3:远端仓库其他用户新上库,本地未pull,先push,出现reject提醒 场景4:本地分支和远程分支关联 场景1:远端仓库新建一个分支,如何下载到本地 当远程仓库新
阅读全文
摘要:HEAD 是什么? HEAD 是一个指针:它指向当前所在的提交(commit)或分支。 HEAD 代表“当前状态”:它告诉 Git 你现在正在哪个分支上工作,或者你当前查看的是哪个提交。 HEAD 的两种状态 HEAD 可以指向两种东西: 指向分支(最常见的情况): 当你在一个分支上工作时,HEAD
阅读全文
摘要:前言 以前使用github不多,因此用一篇博客记录github的使用技巧。 搜索语法 按仓库属性搜索 限定仓库所有者:使用user:或org:语法。例如,user:torvalds可以搜索由 Linus Torvalds(Linux 内核创始人)拥有的仓库;org:google可以搜索 Google
阅读全文
摘要:modport的作用 modport 用于将模块的端口进行分组,使得模块的接口更加清晰和易于管理。通过 modport,可以将一组相关的端口组合在一起,并且可以指定这些端口的方向(输入/输出/双向等)。一个Interface可以有任意数量的modport定义,每个定义都描述了一个或多个其他模块如何看
阅读全文
摘要:摘要 uvm_info会打印完整的文件路径及行号,本人希望将完整路径显示改正仅显示文件名。DS给出的答复如下。 实现方法1 class my_test extends uvm_test; `uvm_component_utils(my_test) function new(string name,
阅读全文
摘要:摘要 -ucli 是 VCS(Verilog Compiled Simulator)中的一个选项,用于启用用户命令行界面(User Command - Line Interface,简称 UCLI)。通过 UCLI,用户可以在仿真过程中动态地控制仿真、查看信号状态、设置断点等,实现交互式的仿真调试。
阅读全文
摘要:fsdbDumpfile 功能: 指定用于存储波形数据的 FSDB 文件的名称。 语法: systemverilog $fsdbDumpfile(string filename); 参数: filename:一个字符串,表示要创建的 FSDB 文件的名称。 示例: initial begin $fs
阅读全文
摘要:摘要 该脚本由DS生成,实用性有待检验,仅供参考。 详细代码 # Makefile for VCS compilation and Verdi simulation with testcase control # 基础配置 VCS := vcs VERDI := verdi TOP_MODULE ?
阅读全文