OLED显示模块(原理讲解、STM32实例操作)

一、OLED的基础介绍
OLED的定义和优势
OLED,即有机发光二极管(Organic Light-Emitting Diode),又称为有机电激光显示(Organic Electroluminesence Display, OELD)。OLED由于同时具备自发光,不需背光源、对比度高、厚度薄、视角广、反应速度快、可用于挠曲性面板、使用温度范围广、构造及制程较简单等优异之特性,被认为是下一代的平面显示器新兴应用技术。OLED显示技术具有自发光的特性,采用非常薄的有机材料涂层和玻璃基板,当有电流通过时,这些有机材料就会发光,而且OLED显示屏幕可视角度大,并且能够节省电能。

二、ALINETEK的0.96寸OLED模块
1.模块有单色和双色两种可选,单色为纯蓝色,而双色则为黄蓝双色。单色模块每个像素点只有亮与不亮两种情况,没有颜色区分;
2.尺寸小,显示尺寸为0.96寸,而模块尺寸为 27mm(长)*26mm(宽)*4mm(高);
3.高分辨率,该模块的分辨率为128*64;
4.多种接口方式,该模块提供了总共4种接口。包括:6800、8080两种并行接口方式、 4线的串行SPI接口方式、IIC接口方式;
5.不需要高压,直接接3.3V就可以工作了。带字库,可显示标准的国标简体(GB2312)汉字、8*16 点 ASCII 粗体字库、7*8点 ASCII 字库、5*7 点 ASCII 字库。
6.这里要提醒大家的是,有的模块不和5.0V接口兼容,所以请大家在使用的时候一定要小心,别直接接到5V的系统上去,否则可能烧坏模块。以上4种模式通过模块的BS0~2设置,BS0~2的设置与模块接口模式的关系如表所示:

OLED模块接口方式设置表(表中:“1”代表接VCC,而“0”代表接GND。)

三、OLED模块实物图与原理图

模块采用8*2的2.54排针与外部连接,总共有16个管脚,在16条线中,我们只用了15条,有一个是悬空的,除掉电源和地线占了2条,还剩下13条信号线。在8080模式下,需要全部13条,而在IIC模式下,仅需要2条线就够了。这其中有一条是共同的,那就是复位线RST(RES),RST上的低电平,将导致OLED复位,在每次初始化之前,都应该复位一下OLED模块。下面是OLED模块的具体实物图:

 

 

 ALIENTEK OLED模块默认设置的是BS0接GND,BS1和BS2接VCC ,即使用8080并口方式,如果你想要设置为其他模式,则需要在OLED的背面,用烙铁修改BS0~2的设置。

一种是8080的并口方式,另外一种是4线SPI方式。

首先我们介绍一下模块的8080并行接口,8080并行接口的发明者是INTEL,该总线也被广泛应用于各类液晶显示器,ALIENTEK OLED模块也提供了这种接口,使得MCU可以快速的访问OLED。ALIENTEK OLED模块的8080接口方式需要如下一些信号线:

       CS:OLED片选信号。

       WR:向OLED写入数据。

       RD:从OLED读取数据。

       D[7:0]:8位双向数据线。

       RST(RES):硬复位OLED。

       DC:命令/数据标志(0,读写命令;1,读写数据)。

 

                  图1.1   OLED的8080并行接口方式原理图                                       图1.2   OLED的4线IIC接口方式

四、OLED8080并口读写过程
模块的8080并口读/写的过程为:

1、将数据放到数据口;
2、根据要写入/读取的数据的类型,设置DC(RS)为高(数据)/低(命令);
3、拉低片选,选中SSD1306;
4、接着我们根据是读数据,还是要写数据置RD/WR为低;
5、读数据过程:在RD的上升沿, 使数据锁存到数据线(D[7:0])上;
6、写数据过程:在WR的上升沿,使数据写入到SSD1306里面;
7、拉高CS和DC(RS)。

SSD1306的8080并口写时序图如图1.3所示:        SSD1306的8080并口读时序图如图1.4所示

 图1.3  8080并口写时序图                             图1.4  8080并口读时序图

在8080方式下读数据操作的时候,我们有时候(例如读显存的时候)需要一个假读命(Dummy Read),以使得微控制器的操作频率和显存的操作频率相匹配。在读取真正的数据之前,由一个的假读的过程。这里的假读,其实就是第一个读到的字节丢弃不要,从第二个开始,才是我们真正要读的数据。一个典型的读显存的时序图,如图1.5所示:

 

 

 图1.5  读显存时序图

可以看到,在发送了列地址之后,开始读数据,第一个是Dummy Read,也就是假读,我们从第二个开始,才算是真正有效的数据。

五、OLED模块显存已经显示原理

OLED本身是没有显存的,它的显存是依赖于SSD1306提供的(之后讲解的TFTLCD是本身自带显存,利用FSMC来进行控制)。而SSD1306提供一块显存,芯片具体的讲解见下文。SSD1306的显存总共为128*64bit大小,SSD1306将这些显存分为了8页。每页包含了128个字节,总共8页,这样刚好是128*64的点阵大小。

程序显示原理
在STM32的内部建立一个缓存(共128*8个字节),在每次修改的时候,只是修改STM32上的缓存(实际上就是SRAM),在修改完了之后,一次性把STM32上的缓存数据写入到OLED的GRAM。

SSD1306芯片简介
SSD1306是一个单片CMOS、OLED/PLED驱动芯片可以驱动有机/聚合发光二极管点阵图形显示系统。由128 segments 和64 Commons组成。该芯片专为共阴极OLED面板设计。 

SSD1306中嵌入了对比度控制器、显示RAM和晶振,并因此减少了外部器件和功耗。有256级亮度控制。数据/命令的发送有三种接口可选择:6800/8000串口,I2C接口或SPI接口。适用于多数简介的应用,注入移动电话的屏显,MP3播放器和计算器等。

六、GPIO模拟SPI

1.引脚定义

GND                      地

VCC                       电源,3.3V~5V

D0                         4 线 ISP 接口模式:时钟线(CLK) PA4

D1                         4 线 ISP 接口模式:串行数据线(MOSI)PA3

RES                        4 线 ISP 接口模式:命令/数据标志位(RET复位)PA2

DC                        命令/数据标志位 A1

CS                        OLED 片选

2.时序图

模块只支持向模块写数据不能读数据,所以只需要写SPI发送即可

 

七、SSD1306的命令

1.SSD1306显存为128*64bit大小, SSD1306将全部显存分为8页,每页128字节

 

2.ssd1306常用命令

第一个命令为0X81,用于设置对比度的,这个命令包含了两个字节,第一个0X81为命令,随后发送的一个字节为要设置的对比度的值。这个值设置得越大屏幕就越亮。

第二个命令为0XAE/0XAF。0XAE为关闭显示命令;0XAF为开启显示命令。

第三个命令为0X8D,该指令也包含2个字节,第一个为命令字,第二个为设置值,第二个字节的BIT2表示电荷泵的开关状态,该位为1,则开启电荷泵,为0则关闭。在模块初始化的时候,这个必须要开启,否则是看不到屏幕显示的。

第四个命令为0XB0~B7,该命令用于设置页地址,其低三位的值对应着GRAM的页地址。发送一个字节,高5位固定。0-位2, X[2:0]共3位, 值范围0-7对应页0-7地址(共8页)

第五个指令为0X00~0X0F,该指令用于设置显示时的起始列地址低四位。高四位固定,低四位设置列地址起始低四位。

第六个指令为0X10~0X1F,该指令用于设置显示时的起始列地址高四位。高四位固定,低四位设置列地址起始高四位。

其他命令,我们就不在这里一一介绍了,大家可以参考SSD1306 datasheet的第28页。从这页开始,对SSD1306的指令有详细的介绍。

最后,我们再来介绍一下OLED模块的初始化过程,SSD1306的典型初始化参考下面初始化OLED模块程序步骤

八、STM32控制程序编写

  • 设置STM32与OLED模块相连接的IO设置为输出;
  • 初始化OLED模块(步骤:硬复位SSD1306RST=0  延时10ms   RST=1、驱动IC初始化程序建议复位所有寄存器、开启显示、清零显存、开始显示);
  • 通过函数将字符和数字显示到OLED模块上。

"SPI.h"

#define OLED_CMD 0 //命令声明
#define OLED_DATA 1 //数据声明


#define OLED_CLK PAout(4) // CLK时钟 d0
#define OLED_MOSI PAout(3) // MOSI d1
#define OLED_RST PAout(2) // RET复位 ret
#define OLED_DC PAout(1) // 命令|数据 dc (0表传输命令1表传输数据)


void OLED_SPI_Init(void); //配置MCU的SPI
void SPI_WriteByte(uint8_t addr,uint8_t data); //向寄存器地址写一个byte的数据
void WriteCmd(unsigned char cmd); //写命令
void WriteDat(unsigned char data); //写数据

"SPI.c"

/*************************************************************************/ /*函数功能: GPIO模拟SPI端口初始化 */ /*************************************************************************/ void OLED_SPI_Init(void) { GPIO_InitTypeDef GPIO_InitStructure; RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA ,ENABLE);//使能PA端口时钟

GPIO_InitStructure.GPIO_Pin=GPIO_Pin_1|GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4; //端口配置 GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;//推挽输出 GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//IO口速度为50MHz GPIO_Init(GPIOA,&GPIO_InitStructure);//根据设定参数初始化GPIOA } /*************************************************************************/ /*函数功能: 通过SPIO软件模拟SPI通信协议,向模块(SSD1306)写入一个字节 */ /*入口参数: */ /* data:要写入的数据/命令 */ /* cmd :数据/命令标志 0,表示命令;1,表示数据; */ /*************************************************************************/ void SPI_WriteByte(unsigned char data,unsigned char cmd) { unsigned char i=0; OLED_DC =cmd; OLED_CLK=0; //OLED_CS = 0 for(i=0;i<8;i++) { OLED_CLK=0; if(data&0x80)OLED_MOSI=1; //从高位到低位 else OLED_MOSI=0; OLED_CLK=1; data<<=1; } OLED_CLK=1; //OLED_CS = 1 OLED_DC=1; } /*************************************************************************/ /*函数功能: 写命令 */ /*************************************************************************/ void WriteCmd(unsigned char cmd) { SPI_WriteByte(cmd,OLED_CMD); } /*************************************************************************/ /*函数功能: 写数据 */ /*************************************************************************/ void WriteData(unsigned char data) { SPI_WriteByte(data,OLED_DATA); }

 代码注意事项,关于片选引脚问题OLED_CS = 0/1;在硬件上已经解决,软件就不需要。

"OLED.h"

void OLED_Init(void);//初始化OLED
void OLED_ON(void);//唤醒OLED
void OLED_OFF(void);//OLED休眠
void OLED_Refresh_Gram(void);//更新显存到OLED
void OLED_Clear(void);//清屏
void OLED_DrawPoint(u8 x,u8 y,u8 t);//画点
void OLED_Fill(u8 x1,u8 y1,u8 x2,u8 y2,u8 dot);//填充
void OLED_ShowChar(u8 x,u8 y,u8 chr,u8 size,u8 mode);//显示字符
void OLED_ShowNum(u8 x,u8 y,u32 num,u8 len,u8 size);//显示2个数字
void OLED_ShowString(u8 x,u8 y,const u8 *p,u8 size);//显示字符串

 

"OLED.c"

//OLED的显存
//存放格式如下.
//[0]0 1 2 3 ... 127
//[1]0 1 2 3 ... 127
//[2]0 1 2 3 ... 127
//[3]0 1 2 3 ... 127
//[4]0 1 2 3 ... 127
//[5]0 1 2 3 ... 127
//[6]0 1 2 3 ... 127
//[7]0 1 2 3 ... 127
u8 OLED_GRAM[128][8];        //更新显存函数当中使用WriteData(OLED_GRAM[n][i]); 完成液晶刷新
//此部分GRAM对应OLED模块上的GRAM。在操作的时候,我们只需要修改STM32内部的GRAM,然后通过OLED_Refresh_Gram()函数将GRAM一次性刷新到OLED的GRAM中。此函数的具体内容先设置页地址,然后写入列地址,然后从0开始写入128个字节,这样就将一页的内容刷新过去。重复8次,将8页的内容全部刷新过去。

/*************************************************************************/
/*函数功能: 软延时 */
/*************************************************************************/
void OLED_DLY_ms(unsigned int ms)
{
  unsigned int a;
  while(ms)
  {
    a=1335;
    while(a--);
    ms--;
  }
}
/*************************************************************************/
/*函数功能: 初始化OLED模块 */
/*************************************************************************/
void OLED_Init(void)
{
  OLED_SPI_Init();
  OLED_CLK = 1;
  OLED_RST = 0;
  OLED_DLY_ms(100);
  OLED_RST = 1;

  //从上电到下面开始初始化要有足够的时间,即等待RC复位完毕
  WriteCmd(0xAE); // Display Off (0x00)//关闭显示
  WriteCmd(0xD5);        //设置时钟分频因子,振荡频率
  WriteCmd(0x80);         // Set Clock as 100 Frames/Sec //[3:0],分频因子;[7:4],震荡频率
  WriteCmd(0xA8); //设置驱动路数
  WriteCmd(0x3F);  // 1/64 Duty (0x0F~0x3F)  //默认0X3F(1/64) 
  WriteCmd(0xD3);                                                                      //设置显示偏移
  WriteCmd(0x00); // Shift Mapping RAM Counter (0x00~0x3F) //默认为0


  WriteCmd(0x40 | 0x00); // Set Mapping RAM Display Start Line (0x00~0x3F) //设置显示开始行 [5:0],行数.


  WriteCmd(0x8D);               //电荷泵设置, //bit2,开启/关闭
  WriteCmd(0x10 | 0x04);    // Enable Embedded DC/DC Converter (0x00/0x04) 
  WriteCmd(0x20);  //设置内存寻址模式,//最后两位[1:0],列地址模式;01,行地址模式;10,页地址模式;默认10
  WriteCmd(0x02);  // Set Page Addressing Mode (0x00/0x01/0x02)确定使用页寻址模式
  WriteCmd(0xA0 | 0x01); // Set SEG/Column Mapping   //段重定义设置,bit0:0,0->0;1,0->127;
  WriteCmd(0xC0); // Set COM/x Scan Direction             //设置COM扫描方向;bit3:0,普通模式;1,重定义模式 COM[N-1]->COM0;N:驱动路数
  WriteCmd(0xDA);                                                                                 //设置COM硬件引脚配置
  WriteCmd(0x02 | 0x10); // Set Sequential Configuration (0x00/0x10)  //[5:4]配置
  WriteCmd(0x81);                                             //对比度设置
  WriteCmd(0xCF); // Set SEG Output Current //1~255;默认0X7F (亮度设置,越大越亮)
  WriteCmd(0xD9);                                                                                                    //设置预充电周期
  WriteCmd(0xF1); // Set Pre-Charge as 15 Clocks & Discharge as 1 Clock           //[3:0],PHASE 1;[7:4],PHASE 2;
  WriteCmd(0xDB);                                                //设置VCOMH 电压倍率
  WriteCmd(0x40); // Set VCOM Deselect Level   //[6:4] 000,0.65*vcc;001,0.77*vcc;011,0.83*vcc;
  WriteCmd(0xA4 | 0x00); // Disable Entire Display On (0x00/0x01)   //全局显示开启;bit0:1,开启;0,关闭;(白屏/黑屏)
  WriteCmd(0xA6 | 0x00); // Disable Inverse Display On (0x00/0x01) //设置显示方式;bit0:1,反相显示;0,正常显示
  WriteCmd(0xAE | 0x01); // Display On (0x01) //开启显示

  OLED_Clear(); //初始清屏
}
/*************************************************************************/
/*函数功能: 将OLED从休眠中唤醒 */
/*************************************************************************/
void OLED_ON(void)
{
  WriteCmd(0X8D); //设置电荷泵
  WriteCmd(0X14); //开启电荷泵
  WriteCmd(0XAF); //OLED唤醒
}
/*************************************************************************/
/*函数功能: 将OLED休眠 -- 休眠模式下,OLED功耗不到10uA */
/*************************************************************************/
void OLED_OFF(void)
{
  WriteCmd(0X8D); //设置电荷泵
  WriteCmd(0X10); //关闭电荷泵
  WriteCmd(0XAE); //OLED休眠
}

/*************************************************************************/
/*函数功能: 更新显存到OLED */
/*************************************************************************/
void OLED_Refresh_Gram(void)
{
  u8 i,n;
  for(i=0;i<8;i++)
  {
    WriteCmd(0xb0+i); //设置页地址(0~7)
    WriteCmd(0x00); //设置显示位置—列低地址
    WriteCmd(0x10); //设置显示位置—列高地址
    for(n=0;n<128;n++)WriteData(OLED_GRAM[n][i]);
  }
}
/*************************************************************************/
/*函数功能: 清屏 */
/*************************************************************************/
void OLED_Clear(void)
{
  u8 i,n;
  for(i=0;i<8;i++)for(n=0;n<128;n++)OLED_GRAM[n][i]=0X00;
  OLED_Refresh_Gram();//更新显示
}
/*************************************************************************/
/*函数功能: 画点 */
/*入口参数: */
/* x:横坐标 0~127 */
/* y:纵坐标 0~63 */
/* dot:0,清空;1,填充 */
/*************************************************************************/
void OLED_DrawPoint(u8 x,u8 y,u8 t)
{
  u8 pos,bx,temp=0;
  if(x>127||y>63)return;//超出范围了.
  pos=7-y/8;
  bx=y%8;
  temp=1<<(7-bx);
  if(t)OLED_GRAM[x][pos]|=temp;
  else OLED_GRAM[x][pos]&=~temp;
}
/*************************************************************************/
/*函数功能: 填充(已知两点坐标绘画线)   */
/*入口参数: */
/* x1,y1,x2,y2 填充区域的对角坐标 */
/* 确保x1<=x2;y1<=y2 0<=x1<=127 0<=y1<=63 */
/* dot:0,清空;1,填充 */
/*************************************************************************/
void OLED_Fill(u8 x1,u8 y1,u8 x2,u8 y2,u8 dot)
{
  u8 x,y;
  for(x=x1;x<=x2;x++)
  {
    for(y=y1;y<=y2;y++)OLED_DrawPoint(x,y,dot);
  }
  OLED_Refresh_Gram();//更新显示
}

/*************************************************************************/
/*函数功能: 在指定位置显示一个字符,包括部分字符 */
/*入口参数: */
/* x:0~12 */
/* y:0~63 */
/* mode:0,反白显示;1,正常显示 */
/* size:选择字体 24/16/12 */
/*************************************************************************/
void OLED_ShowChar(u8 x,u8 y,u8 chr,u8 size,u8 mode)
{
  u8 temp,t,t1;
  u8 y0=y;
  u8 csize=(size/8+((size%8)?1:0))*(size/2); //得到字体一个字符对应点阵集所占的字节数
  chr=chr-' ';                                                   //得到偏移后的值
  for(t=0;t<csize;t++)
  {
    if(size==12)temp=asc2_1206[chr][t]; //调用1206字体
    else if(size==16)temp=asc2_1608[chr][t]; //调用1608字体
    else if(size==24)temp=asc2_2412[chr][t]; //调用2412字体
    else return; //没有的字库
    for(t1=0;t1<8;t1++)
    {
      if(temp&0x80)OLED_DrawPoint(x,y,mode);
      else OLED_DrawPoint(x,y,!mode);
      temp<<=1;
      y++;
      if((y-y0)==size)
      {
        y=y0;
        x++;
        break;
      }
    }
  }
}

/*************************************************************************/
/*
显示一个汉字
x,y:起点坐标
num :字库中第几个汉字
size:字体大小
mode:模式
*/
/*************************************************************************/
void OLED_ShowGBK(u8 x, u8 y, u8 num, u8 size,u8 mode)
{
  u8 temp,t,t1;
  u8 y0=y;
  //u8 size = 16;
  u8 csize=(size/8 + ((size%8)?1:0)) * size; //得到字体一个字符对应点阵集所占的字节数

  for(t=0;t<csize;t++)
  {
    // 我只定义了16,12号字体 没有声明其他字体
    if(size==12) temp = gbk_1212[num][t]; //调用1212字体
    else if(size==16) temp = gbk_1616[num][t]; //调用1616字体
    // else if(size==24)temp=asc2_2412[chr][t]; //调用2412字体
    else return; //没有的字库
    for(t1=0;t1<8;t1++)
    {
      if(temp&0x80)OLED_DrawPoint(x,y,mode);
      else OLED_DrawPoint(x,y,!mode);
      temp<<=1;
      y++;
      if((y-y0)==size)
      {
        y=y0;
        x++;
        break;
      }
    }
  }
}


//m^n函数
u32 mypow(u8 m,u8 n)
{
  u32 result=1;
  while(n--)result*=m;
  return result;
}
/*************************************************************************/
/*函数功能: 显示2个数字 */
/*入口参数: */
/* x,y :起点坐标 */
/* len :数字的位数 */
/* size:字体大小 */
/* mode:模式 0,填充模式;1,叠加模式 */
/* num:数值(0~4294967295) */
/*************************************************************************/
void OLED_ShowNum(u8 x,u8 y,u32 num,u8 len,u8 size)
{
  u8 t,temp;
  u8 enshow=0;
  for(t=0;t<len;t++)
  {
    temp=(num/mypow(10,len-t-1))%10;
    if(enshow==0&&t<(len-1))
    {
      if(temp==0)
      {
      OLED_ShowChar(x+(size/2)*t,y,' ',size,1);
      continue;
      }else enshow=1; 

    }
    OLED_ShowChar(x+(size/2)*t,y,temp+'0',size,1);
  }
}
/*************************************************************************/
/*函数功能: 显示字符串 */
/*入口参数: */
/* x,y:起点坐标 */
/* size:字体大小 */
/* *p:字符串起始地址 */
/*************************************************************************/
void OLED_ShowString(u8 x,u8 y,const u8 *p,u8 size)
{
  while((*p<='~')&&(*p>=' '))//判断是不是非法字符!
  {
    if(x>(128-(size/2))){x=0;y+=size;}
    if(y>(64-size)){y=x=0;OLED_Clear();}
    OLED_ShowChar(x,y,*p,size,1);
    x+=size/2;
    p++;
  }
}

STM32控制程序分析

OLED_Refresh_Gram()函数:更新显存到OLED。 在STM32内部定义了一个块GRAM: u8 OLED_GRAM[128][8];

此部分GRAM对应OLED模块上的GRAM。在操作的时候,我们只需要修改STM32内部的GRAM,然后通过OLED_Refresh_Gram()函数将GRAM一次性刷新到OLED的GRAM中。

void OLED_Refresh_Gram(void)
{
  u8 i,n; 
  for(i=0;i<8;i++) 
  { 
    WriteCmd(0xb0+i); //设置页地址(0~7)
    WriteCmd(0x00); //设置显示位置—列低地址
    WriteCmd(0x10); //设置显示位置—列高地址 
    for(n=0;n<128;n++)WriteData(OLED_GRAM[n][i]); 
  } 
}

函数的具体内容先设置页地址,然后写入列地址,然后从0开始写入128个字节,这样就将一页的内容刷新过去。重复8次,将8页的内容全部刷新过去。

OLED_WR_Byte()函数:向SSD1306写入数据或命令(参数cmd为1时表示数据,为0时表示命令)。

如果#define OLED_MODE 0   代表使用8080并行接口 ,如果  #define OLED_MODE 1代表使用4线SPI接口。

如果是8080并行接口,向SSD1306写入一个字节,在OLED_WR_Byte(u8 dat,u8 cmd)函数当中,需要首先DATAOUT(dat)函数将数据放到数据口。其中DATAOUT()是一个宏定义:#define DATAOUT(x) GPIO_Write(GPIOC,x);

如果是4线SPI串行接口,向SSD1306写入一个字节,OLED_WR_Byte(u8 dat,u8 cmd)函数当中就能实现8次移位传输,在判断cmd参数是命令还是数据,如果是命令,DC置高;如果是数据,DC置低。接下来,拉低片选,将WR拉低再拉高产生一个上升沿。这样数据就写入到了控制器。最后,拉高片选、DC。

OLED_DrawPoint()函数:画点函数,这里有一个对应关系需要理解。

OLED_GRAM[128][8]中的128代表列数(x坐标),而8代表的是页,每页又包含8行,总共是64行(y坐标)。从高到低对应行数从小到大。比如,我们要在x=100,y=29这个点写入1,则可以用这个句子实现:

OLED_GRAM[100][4]=1<<2;
一个通用的点(x,y)置1的表达式为:

OLED_GRAM[x][7-y/8]=1<<(7-y%8);
其中,x的取值范围为0-127;y的取值范围为0-63。
OLED_ShowChar()函数:显示字符。这里的字符采用16*8的显示方式,也就是说在OLED上16*8数目大小的点阵表示一个字符,即128个点。

下面截取了一部分16*8的字符库的内容,一个字符用16个u8类型的数字表示:(取模方式设置:阴码+逐列式+顺向+C51格式)

{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*" " ,0*/
{0x00,0x00,0x00,0x00,0x00,0x00,0x1F,0xCC,0x00,0x0C,0x00,0x00,0x00,0x00,0x00,0x00},/*"!",1*/
{0x00,0x00,0x08,0x00,0x30,0x00,0x60,0x00,0x08,0x00,0x30,0x00,0x60,0x00,0x00,0x00},/*""",2*/
{0x02,0x20,0x03,0xFC,0x1E,0x20,0x02,0x20,0x03,0xFC,0x1E,0x20,0x02,0x20,0x00,0x00},/*"#",3*/
{0x00,0x00,0x0E,0x18,0x11,0x04,0x3F,0xFF,0x10,0x84,0x0C,0x78,0x00,0x00,0x00,0x00},/*"$",4*/
{0x0F,0x00,0x10,0x84,0x0F,0x38,0x00,0xC0,0x07,0x78,0x18,0x84,0x00,0x78,0x00,0x00},/*"%",5*/
{0x00,0x78,0x0F,0x84,0x10,0xC4,0x11,0x24,0x0E,0x98,0x00,0xE4,0x00,0x84,0x00,0x08},/*"&",6*/

取模方式

 

 

 

 取模方式:从上到下,从左到右,高位在前。就是这样的取模方式,将字符集按照16*8的大小取模出来。1表示亮,0表示暗。

具体的显示方式如下图所示:

 

显示字符函数的具体实现:

               for(t1=0;t1<8;t1++)
    {
      if(temp&0x80)OLED_DrawPoint(x,y,mode);
      else OLED_DrawPoint(x,y,!mode);
      temp<<=1;
      y++;
      if((y-y0)==size)
      {
        y=y0;
        x++;
        break;
      }

这里也是按照从上到下,从左到右的取模方式来进行的。先得到最高位,然后判断是写1还是0,画点;接着读第二位,如此循环,直到一个字符的点阵全部取完为止。这里涉及到的列地址和行地址的自增,不难理解。

建立中文字库(以16号字体为例)

 推荐使用PCtoLCD2002.exe汉字取模(取模方式已给出)

//16x16 汉字点阵
//每个汉字占32个字节
//PC2LCD2002取模方式设置:阴码+逐列式+顺向+C51格式  //注意中景园官方范例(阴码+列行式+逆向+C51格式)
//每个汉字所占用的字节数为:(size/8+((size%8)?1:0))*(size),
//其中size:是字库生成时的点阵大小(12/16/24...)

const unsigned char gbk_1616[4][32]={ //16x16

{0x08,0x20,0x08,0x22,0x08,0x41,0xFF,0xFE,
0x08,0x80,0x0A,0x41,0x22,0x41,0x2A,0x52,
0xA6,0x6A,0x63,0xC4,0x22,0x44,0x26,0x4A,
0x2A,0x72,0x22,0x41,0x02,0x40,0x00,0x00},/*"接",0*/
{0x00,0x00,0x3F,0xF0,0x20,0x20,0x20,0x20,
0x3F,0xF0,0x00,0x01,0x00,0x06,0x3F,0xF8,
0x22,0x00,0x22,0x00,0x22,0x00,0x43,0xFF,
0xC2,0x00,0x42,0x00,0x02,0x00,0x00,0x00},/*"听",1*/
{0x08,0x20,0x08,0x22,0x08,0x41,0xFF,0xFE,
0x08,0x80,0x08,0x00,0x02,0x02,0x22,0x22,
0x22,0x22,0x22,0x22,0xFE,0xFE,0x22,0x22,
0x22,0x22,0x22,0x22,0x02,0x02,0x00,0x00},/*"挂",2*/
{0x00,0x00,0x3F,0xFC,0x04,0x44,0x24,0x84,
0x15,0x04,0xFF,0xF4,0x15,0x04,0x24,0xC5,
0x00,0x06,0x3F,0xF8,0x22,0x00,0x22,0x00,
0x23,0xFF,0x42,0x00,0x02,0x00,0x00,0x00},/*"断",3*/

};

posted on 2020-04-18 19:35  一郎哥哥  阅读(53540)  评论(1编辑  收藏  举报

导航