2016年1月17日

摘要: http://www.cnblogs.com/fpga/archive/2009/10/24/1589318.html占空比为50%的分频偶数分频比较简单比如N分频,那么计数到N/2-1,然后时钟翻转,代码如下:1moduleeven(clk_in,clk_out,rst_n);2inputclk_... 阅读全文
posted @ 2016-01-17 17:22 yang_jun1219 阅读(471) 评论(0) 推荐(0) 编辑

导航